Doping Of Semiconductor Channel Region Beneath Gate Insulator (e.g., Threshold Voltage Adjustment, Etc.) Patents (Class 438/217)
  • Publication number: 20100109088
    Abstract: The present disclosure provides a method including forming STI features in a silicon substrate, defining a first and a second active regions for a PFET and an NFET, respectively; forming a hard mask having an opening to expose the silicon substrate within the first active region; etching the silicon substrate through the opening to form a recess within the first active region; growing a SiGe layer in the recess such that a top surface of the SiGe layer within the first active region and a top surface of the silicon substrate within the second active region are substantially coplanar; forming metal gate material layers; patterning the metal gate material layers to form a metal gate stack on the SiGe layer within the first active region; and forming an eSiGe S/D stressor distributed in both the SiGe layer and the silicon substrate within the first active region.
    Type: Application
    Filed: April 30, 2009
    Publication date: May 6, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jin-Aun Ng, Wen-Chih Yang, Chien-Liang Chen, Chung-Hau Fei, Maxi Chang, Bao-Ru Young, Harry Chuang
  • Patent number: 7704822
    Abstract: Embodiments relate to a semiconductor device. According to embodiments, a semiconductor device may include a plurality of wells formed on a substrate, threshold voltage control ion layers formed around surfaces of the wells, device isolation layers arranged between the wells, ion compensation layers formed on edges and bottoms of the device isolation layers, and a gate formed on the well.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: April 27, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hyeong Gyun Jeong
  • Patent number: 7704818
    Abstract: A method for manufacturing a semiconductor device, including etching exposed areas of a substrate using patterned nitride and insulating layers as an etch mask to form a trench in the substrate; forming a buffer layer in the trench; forming a stress-inducing layer by implanting ions into a region of the substrate around the trench using the patterned nitride and insulating layers as an ion implant mask; forming a device isolation region by filling the trench with an trench insulating layer; and removing the patterned nitride and insulating layers.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: April 27, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Eun Jong Shin
  • Patent number: 7700429
    Abstract: A method for forming a fin transistor includes forming a fin active region, depositing a thin layer doped with impurities over a semiconductor substrate, and forming a channel by diffusing the impurities into the fin active region of the fin transistor. In detail of the fin transistor formation, a fin active region is formed, and a patterned pad nitride layer is formed over the fin active region. A thin layer containing boron is deposited over the fin active region and isolation regions. Boron in the thin layer is diffused into the fin active region to form a channel.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: April 20, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Do-Hyung Kim, Dae-Young Seo, Ki-Ro Hong
  • Patent number: 7678640
    Abstract: Methods are provided for manufacturing a semiconductor circuit on a substrate of a first conductivity type to control threshold voltages of devices in the circuit. One method involves: (i) forming a photoresist mask on a surface of the substrate defining a well boundary around an area in which a well is to be formed; (ii) implanting ions into the substrate to form a well of a second conductivity type, wherein a region proximal to the well boundary is effected by lateral scattering of the ions by the mask; and (iii) forming a channel of a device, at least a portion of the channel formed in the region proximal to the well boundary, wherein the ions are implanted at an acute angle to the surface substrate to shadow the portion of the channel from at least some of the ions implanted to form the channel. Other embodiments are also provided.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: March 16, 2010
    Assignee: Cypress Semiconductor Corporation
    Inventors: Igor Polishchuk, Oliver Pohland
  • Patent number: 7678636
    Abstract: A method of forming a semiconductor structure includes providing a semiconductor substrate comprising a first region and a second region, forming a first PMOS device in the first region wherein a first gate electrode of the first PMOS device has a first p-type impurity concentration, forming a stress memorization layer over the first PMOS device, reducing the stress memorization layer in the first region, performing an annealing after the step of reducing the stress memorization layer in the first region, and removing the stress memorization layer. The same stress memorization layer is not reduced in a region having an NMOS device. The same stress memorization layer may not be reduced in a region including a second PMOS device.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: March 16, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry Chuang, Mong-Song Liang, Kong-Beng Thei, Jung-Hui Kao, Chung Long Cheng, Sheng-Chen Chung, Wen-Huei Guo
  • Patent number: 7674670
    Abstract: The invention includes methods of forming channel region implants for two transistor devices simultaneously, in which a mask is utilized to block a larger percentage of a channel region location of one of the devices relative to the other. The invention also pertains to methods of forming capacitor structures in which a first capacitor electrode is spaced from a semiconductor substrate by a dielectric material, a second capacitor electrode comprises a conductively-doped diffusion region within the semiconductor material, and a capacitor channel region location is beneath the dielectric material and adjacent the conductively-doped diffusion region. An implant mask is formed to cover only a first portion of the capacitor channel region location and to leave a second portion of the capacitor channel region location uncovered. While the implant mask is in place, dopant is implanted into the uncovered second portion of the capacitor channel region location.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: March 9, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Kurt D. Beigel, Fred D. Fishburn, Rongsheng Yang
  • Publication number: 20100013021
    Abstract: Disclosed are embodiments of a p-type, silicon germanium (SiGe), high-k dielectric-metal gate, metal oxide semiconductor field effect transistor (PFET) having an optimal threshold voltage (Vt), a complementary metal oxide semiconductor (CMOS) device that includes the PFET and methods of forming both the PFET alone and the CMOS device. The embodiments incorporate negatively charged ions (e.g., fluorine (F), chlorine (Cl), bromine (Br), iodine (I), etc.) into the high-k gate dielectric material of the PFET only so as to selectively adjust the negative Vt of the PFET (i.e., so as to reduce the negative Vt of the PFET).
    Type: Application
    Filed: July 21, 2008
    Publication date: January 21, 2010
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, FREESCALE SEMICONDUCTOR INC., SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Xiangdong Chen, Jong Ho Lee, Weipeng Li, Dae-Gyu Park, Kenneth J. Stein, Voon-Yew Thean
  • Patent number: 7645662
    Abstract: A transistor includes a channel region with a first portion and a second portion. A length of the first portion is smaller than a length of the second portion. The first portion has a higher threshold voltage than the second portion. The lower threshold voltage of the second portion allows for an increased ON current. Despite the increase attained in the ON current, the higher threshold voltage of the first portion maintains or lowers a relatively low OFF current for the transistor.
    Type: Grant
    Filed: May 3, 2007
    Date of Patent: January 12, 2010
    Assignee: DSM Solutions, Inc.
    Inventor: Sung-Ki Min
  • Patent number: 7645661
    Abstract: A semiconductor device manufactured by forming a plurality of first trenches in each of which a trench gate is formed, in an epitaxial layer of a first conductivity type; implanting an impurity of a second conductivity type into a part beneath each of the first trenches to form a first column region; and implanting an impurity of the second conductivity type into a part beneath a base region formed between the first trenches to form a second column region. The first and second column regions are formed with an impurity concentration such that a total depletion charge in the regions is substantially equal to a depletion charge in the epitaxial layer.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: January 12, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Kenya Kobayashi
  • Patent number: 7645665
    Abstract: A method for manufacturing a semiconductor device has the steps of: (a) implanting boron (B) ions into a semiconductor substrate; (b) implanting fluorine (F) or nitrogen (N) ions into the semiconductor device; (c) after the steps (a) and (b) are performed, executing first annealing with a heating time of 100 msec or shorter relative to a region of the semiconductor substrate into which ions were implanted; and (d) after the step (c) is performed, executing second annealing with a heating time longer than the heating time of the first annealing, relative to the region of the semiconductor substrate into which ions were implanted. The method for manufacturing a semiconductor device is provided which can dope boron (B) shallowly and at a high concentration.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: January 12, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Tomohiro Kubo, Kenichi Okabe, Tomonari Yamamoto
  • Patent number: 7632732
    Abstract: A method of manufacturing a transistor may include: forming a first well over a silicon substrate; forming a first mask pattern over the silicon substrate and using the formed first mask pattern to form a second well; removing the first mask pattern; forming a second mask pattern over the silicon substrate and using the formed second mask pattern to form a first drift region; removing the second mask pattern; forming a third mask pattern and using the formed third mask pattern to form a second drift region; removing the third mask pattern; forming a field oxide film over the silicon substrate; and introducing first conductive impurity ions into an upper surface of the silicon substrate by channel ion implantation.
    Type: Grant
    Filed: December 28, 2008
    Date of Patent: December 15, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Bong-Kil Kim
  • Publication number: 20090302383
    Abstract: In a high-voltage NMOS transistor with low threshold voltage, it is proposed to realize the body doping that defines the channel region in the form of a deep p-well, and to arrange an additional shallow p-doping as a channel stopper on the transistor head, wherein this additional shallow p-doping is produced in the semiconductor substrate at the end of the deep p-well that faces away from the channel region, and extends up to a location underneath a field oxide region that encloses the active window. The leakage current of the parasitic transistor at the transistor head is suppressed with the channel stopper.
    Type: Application
    Filed: November 13, 2006
    Publication date: December 10, 2009
    Inventors: Martin Knaipp, Georg Röhrer
  • Publication number: 20090291553
    Abstract: A CMOS structure is disclosed in which a first type FET has an extremely thin oxide liner. This thin liner is capable of preventing oxygen from reaching the high-k dielectric gate insulator of the first type FET. A second type FET device of the CMOS structure has a thicker oxide liner. As a result, an oxygen exposure is capable to shift the threshold voltage of the second type of FET, without affecting the threshold value of the first type FET. The disclosure also teaches methods for producing the CMOS structure in which differing type of FET devices have differing thickness liners, and the threshold values of the differing type of FET devices is set independently from one another.
    Type: Application
    Filed: August 4, 2009
    Publication date: November 26, 2009
    Applicant: International Business Machines Corporation
    Inventors: Bruce B. Doris, Eduard Albert Cartier, Vijay Narayanan, Vamsi Paruchuri
  • Patent number: 7622356
    Abstract: There are provided a method for fabricating a MOSFET. The method includes: substrate, forming a semiconductor substrate, a germanium layer by implanting germanium (Ge) ions into a semiconductor substrate, forming an epitaxial layer doped with high concentration impurities over the germanium layer, forming a gate structure on the epitaxial layer, and forming source/drain regions with lightly doped drain (LDD) regions in the semiconductor substrate. The germanium layer supplies carriers into the epitaxial layer so that short channel effects are reduced.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: November 24, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Soo Cho
  • Publication number: 20090286366
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Application
    Filed: July 30, 2009
    Publication date: November 19, 2009
    Applicant: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Patent number: 7595532
    Abstract: A semiconductor memory device includes a semiconductor substrate including an insulating layer, a charge storage region of a first conductivity type on the insulating layer, and an insulating film on the insulating layer and surrounding the charge storage region. A body region of the first conductivity type is on an upper surface of the charge storage region, and a gate stack including a gate electrode and a gate insulating film is on the body region. A source region and a drain region of a second conductivity type are on opposite sides of the body region. The charge storage region extends further towards the semiconductor substrate than the source region and/or the drain region. Methods of forming semiconductor memory devices are also disclosed.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: September 29, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Whan Song, Chang-Hyun Kim
  • Patent number: 7579226
    Abstract: A method is provided for fabricating a thin layer element, in which a layer of a first material supports a pattern of a second material having a thickness of less than 15 nm, including a step of doping by implanting a chemical species over at least a portion of the layer-pattern assembly to stabilize the pattern on the layer.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: August 25, 2009
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Jean-Charles Barbe, Maud Vinet, Olivier Faynot
  • Patent number: 7569449
    Abstract: Methods of fabricating negative-channel metal-oxide semiconductor (NMOS) devices and positive-channel metal-oxide semiconductor (PMOS) devices having complementary threshold voltages are described. Elements of lower-threshold voltage NMOS devices are formed at first locations on a substrate. Elements of higher-threshold voltage PMOS devices are formed at second locations on the substrate. Elements of higher-threshold voltage NMOS devices and elements of lower-threshold PMOS devices are formed by adding a same amount of p-type dopant at selected locations chosen from the first and second locations.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: August 4, 2009
    Assignee: Cypress Semiconductor Corporation
    Inventor: Adrian B. Early
  • Patent number: 7569445
    Abstract: A semiconductor device including a gate located over a semiconductor substrate and a source/drain region located adjacent the gate. The source/drain region is bounded by an isolation structure that includes a constricted current passage between the gate and the source/drain region.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: August 4, 2009
    Assignee: Agere Systems Inc.
    Inventor: Yehuda Smooha
  • Patent number: 7563682
    Abstract: An LDMOS transistor device in an integrated circuit comprises a semiconductor substrate (10), a gate region (1) including a gate semiconductor layer region (2; 2?; 151) on top of a gate insulation layer region (3; 141), source (4) and drain (5, 7) regions, and a channel (6; 12) arranged beneath the LDMOS gate region, the channel interconnecting the LDMOS source and drain regions and having a laterally graded doping concentration. In order to obtain a lower parasitic capacitance coupling from the gate semiconductor region, the gate semiconductor layer region is provided with a laterally graded net doping concentration (P+N+; N+N?). A method for fabrication of the inventive LDMOS transistor device is further disclosed.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: July 21, 2009
    Assignee: Infineon Technologies AG
    Inventors: Torkel Arnborg, Ulf Smith
  • Patent number: 7560312
    Abstract: Semiconductor structures having a decreased semiconductor junction capacitance of a semiconductor junction within an active semiconductor layer may be fabricated using an ion implantation and thermal annealing method. The ion implantation and thermal annealing method provides for a plurality of voids located completely within the active semiconductor layer proximate to the semiconductor junction located within the active semiconductor layer, absent stressing of the active semiconductor layer.
    Type: Grant
    Filed: August 7, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Xiangdong Chen
  • Publication number: 20090170257
    Abstract: A method of manufacturing a transistor may include: forming a first well over a silicon substrate; forming a first mask pattern over the silicon substrate and using the formed first mask pattern to form a second well; removing the first mask pattern; forming a second mask pattern over the silicon substrate and using the formed second mask pattern to form a first drift region; removing the second mask pattern; forming a third mask pattern and using the formed third mask pattern to form a second drift region; removing the third mask pattern; forming a field oxide film over the silicon substrate; and introducing first conductive impurity ions into an upper surface of the silicon substrate by channel ion implantation.
    Type: Application
    Filed: December 28, 2008
    Publication date: July 2, 2009
    Inventor: Bong-Kil Kim
  • Publication number: 20090170256
    Abstract: A method of forming a transistor comprising forming a gate structure over an n-type semiconductor body and forming recesses substantially aligned to the gate structure in the semiconductor body. Silicon germanium is then epitaxially grown in the recesses and a silicon cap layer is formed over the silicon germanium. Further introduction of impurities into the silicon germanium to increase the melting point thereof and implanting p-type source/drain regions in the semiconductor body is included in the method. The method concludes with performing a high temperature thermal treatment.
    Type: Application
    Filed: September 8, 2008
    Publication date: July 2, 2009
    Applicant: TEXAS INSTRUMENTS INCOPORATED
    Inventors: Srinivasan Chakravarthi, Haowen Bu, Periannan Chidambaram
  • Patent number: 7550357
    Abstract: A semiconductor device with a low drain current in the off-state of LDD type accommodating high voltages is provided. On the thermal oxide film, a polysilicon film and a CVD oxide film, and a resist pattern are formed, then the CVD oxide film is side-etched for formation of a CVD oxide film which is after the etching one-size smaller than the polysilicon film. Using the resist pattern as a mask, an impurity is implanted at a high concentration for formation of a source/drain region at a high concentration in an area which does not overlap with the polysilicon film. Further, the resist pattern is removed, and using the CVD oxide film as a mask, an impurity is implanted at a low concentration for formation of an LDD region of a low concentration in an area which overlaps with the gate electrode of the polysilicon film.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: June 23, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Eisuke Seo
  • Publication number: 20090152650
    Abstract: A high-k dielectric and metal gate stack with minimal overlap with an adjacent oxide isolation region and related methods are disclosed. One embodiment of the gate stack includes a high dielectric constant (high-k) dielectric layer, a tuning layer and a metal layer positioned over an active region defined by an oxide isolation region in a substrate, wherein an outer edge of the high-k dielectric layer, the tuning layer and the metal layer overlaps the oxide isolation region by less than approximately 200 nanometers. The gate stack and related methods eliminate the regrowth effect in short channel devices by restricting the amount of overlap area between the gate stack and adjacent oxide isolation regions.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 18, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael P. Chudzik, William K. Henson, Renee T. Mo, Jeffrey W Sleight
  • Publication number: 20090152626
    Abstract: Shrinking dimensions of MOS transistors in integrated circuits requires tighter distributions of dopants in pocket regions from halo ion implant processes. In conventional fabrication process sequences, halo dopant distributions spread during source/drain anneals. The instant invention is a method of fabricating MOS transistors in an integrated circuit in which halo ion are performed after source/drain anneals. In the inventive method, source/drain spacers on MOS gate sidewalls are removed prior to halo ion implant processes. Spacers to offset metal silicide are formed after halo implants and may be of low-k dielectric material to reduce gate to drain capacitance. A compressive stress layer may be deposited on MOS gates after source/drain spacers are removed for greater stress transfer efficiency to the MOS gates. An integrated circuit embodying the inventive method is also disclosed.
    Type: Application
    Filed: December 18, 2007
    Publication date: June 18, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Ramesh Venugopal, Srinivasan Chakravarthi, Chris Bowen
  • Patent number: 7531404
    Abstract: A method of forming a transistor gate stack having an annealed gate dielectric layer begins by providing a substrate that includes a first and second spacer separated by a trench. A conformal high-k gate dielectric layer is deposited on the substrate and within the trench with a thickness that ranges from 3 ? to 60 ?. Next, a capping layer is deposited on the high-k gate dielectric layer that substantially fills the trench and covers the high-k gate dielectric layer. The high-k gate dielectric layer is then annealed at a temperature that is greater than or equal to 600° C. The capping layer is removed to expose an annealed high-k gate dielectric layer. A metal layer is then deposited on the annealed high-k gate dielectric layer. A CMP process may be used to remove excess material and complete formation of the transistor gate stack.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: May 12, 2009
    Assignee: Intel Corporation
    Inventors: Sangwoo Pae, Jose Maiz, Justin Brask, Gilbert Dewey, Jack Kavalieros, Robert Chau, Suman Datta
  • Patent number: 7528030
    Abstract: A semiconductor device includes at least one MOS transistor, each transistor being provided with a source region and a drain region formed in a semiconductor substrate, along with a gate region and spacers. The transistor is covered with a unitary etch stop layer that includes at least a first zone having a first residual stress level (in tension) covering at least one part of the transistor and at least a second zone having a second residual stress level (in compression) covering at least another part of the device. With this configuration, the first residual stress level is higher than the second residual stress level.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: May 5, 2009
    Assignees: STMicroelectronics (Crolles 2) SAS, Commissariat a l'Energie Atomique
    Inventors: Pierre Morin, Catherine Chaton
  • Patent number: 7521311
    Abstract: A semiconductor device and a method for fabricating the same is disclosed, in which one line is formed from a main gate to a sidewall gate, so that it is possible to scale a transistor below nano degree, and the semiconductor device includes a semiconductor substrate; a device isolation layer for dividing the semiconductor substrate into a field region and an active region; a main gate on a predetermined portion of the active region of the semiconductor substrate; a sidewall gate at both sides of the main gate on the semiconductor substrate; a main gate insulating layer between the main gate and the semiconductor substrate; a sidewall gate insulating layer between the sidewall gate and the semiconductor substrate; an insulating interlayer between the main gate and the sidewall gate; a first silicide layer on the surface of the main gate and the sidewall gate, to electrically connect the main gate with the sidewall gate; and source and drain regions at both sides of the sidewall gate in the active region of th
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: April 21, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jin Hyo Jung
  • Patent number: 7504327
    Abstract: In the invention, a low concentration impurity region is formed between a channel formation region and a source region or a drain region in a semiconductor layer and covered with a gate electrode layer in a thin film transistor The semiconductor layer is doped obliquely to the surface thereof using the gate electrode layer as a mask to form the low concentration impurity region. The semiconductor layer is formed to have an impurity region including an impurity element for imparting one conductivity which is different from conductivity of the thin film transistor, thereby being able to minutely control the properties of the thin film transistor.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: March 17, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Atsuo Isobe, Tetsuji Yamaguchi, Hiromichi Godo
  • Patent number: 7491606
    Abstract: A method for fabricating a three dimensional type capacitor is provided. The method includes forming a first insulation layer including first contact layers over a substrate, forming a second insulation layer over the first insulation layer, forming second contact layers by using a material having an etch selectivity different from the first contact layers such that the second contact layers are connected with the first contact layers within the second insulation layer, forming an etch stop layer over the second insulation layer and the second contact layers, forming a third insulation layer over the etch stop layer, etching the third insulation layer and the etch stop layer to form first contact holes exposing the second contact layers, etching the exposed second contact layers to form second contact holes exposing the first contact holes, and forming bottom electrodes over the inner surface of the second contact holes.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: February 17, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Myung-Ok Kim
  • Patent number: 7488635
    Abstract: A semiconductor structure includes a substrate having a memory region and a logic region. A first p-type device is formed in the memory region and a second p-type device is formed in the logic region. At least a portion of a semiconductor gate of the first p-type device has a lower p-type dopant concentration than at least a portion of a semiconductor gate of the second p-type device. The semiconductor gates of the first and second p-type devices each have a non-zero p-type dopant concentration.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: February 10, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Brian A. Winstead, James D. Burnett, Sinan Goktepeli
  • Publication number: 20090023257
    Abstract: Methods of processing silicon substrates to form metal silicide layers thereover having more uniform thicknesses are provided herein. In some embodiments, a method of processing a substrate includes providing a substrate having a plurality of exposed regions comprising silicon, wherein at least two of the plurality of exposed regions have a different rate of formation of a metal silicide layer thereover; doping at least one of the exposed regions to control the rate of formation of a metal silicide layer thereover; and forming a metal silicide layer upon the exposed regions of the substrate, wherein the metal silicide layer has a reduced maximum thickness differential between the exposed regions.
    Type: Application
    Filed: July 16, 2007
    Publication date: January 22, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: SUNDAR RAMAMURTHY, Majeed A. Foad
  • Patent number: 7479418
    Abstract: The present invention relates to methods for reducing the threshold voltage difference between an n-type field effect transistor (n-FET) and a p-type field effect transistor (p-FET) in a complementary metal-oxide-semiconductor (CMOS) circuit located on a silicon-on-insulator (SOI) substrate. Specifically, a substrate bias voltage is applied to the CMOS circuit for differentially adjusting the threshold voltages of the n-FET and the p-FET. For example, a positive substrate bias voltage can be used to reduce the threshold voltage of the n-FET but increase that of the p-FET, while a negative substrate bias voltage can be used to increase the threshold voltage of the n-FET but reduce that of the p-FET. Further, two or more substrate bias voltages of different magnitudes and/or directions can be used for differentially adjusting the n-FET and p-FET threshold voltages in two or more different CMOS circuits or groups of CMOS circuits.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: January 20, 2009
    Assignee: International Business Machines Corporation
    Inventors: Jin Cai, Wilfried E. Haensch, Tak H. Ning
  • Patent number: 7473591
    Abstract: Various methods for forming a layer of strained silicon in a channel region of a device and devices constructed according to the disclosed methods. In one embodiment, a strain-inducing layer is formed, a relaxed layer is formed on the strain-inducing layer, a portion of the strain-inducing layer is removed, which allows the strain-inducing layer to relax and strain the relaxed layer.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: January 6, 2009
    Assignee: Intel Corporation
    Inventors: Stephen M. Cea, Ravindra Soman, Ramune Nagisetty, Sunit Tyagi, Sanjay Natarajan
  • Patent number: 7470972
    Abstract: A transistor may be formed of different layers of silicon germanium, a lowest layer having a graded germanium concentration and upper layers having constant germanium concentrations such that the lowest layer is of the form Si1-xGex. The highest layer may be of the form Si1-yGey on the PMOS side. A source and drain may be formed of epitaxial silicon germanium of the form Si1-zGez on the PMOS side. In some embodiments, x is greater than y and z is greater than x in the PMOS device. Thus, a PMOS device may be formed with both uniaxial compressive stress in the channel direction and in-plane biaxial compressive stress. This combination of stress may result in higher mobility and increased device performance in some cases.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: December 30, 2008
    Assignee: Intel Corporation
    Inventors: Jack Kavalieros, Justin K. Brask, Mark L. Doczy, Matthew V. Metz, Suman Datta, Brian S. Doyle, Robert S. Chau, Everett X. Wang, Philippe Matagne, Lucian Shifren, Been Y. Jin, Mark Stettler, Martin D. Giles
  • Publication number: 20080305590
    Abstract: An integrated circuit having high performance CMOS devices with good short channel effects may be made by forming a gate structure over a substrate; forming pocket implant regions and source/drain extensions in the substrate; forming spacers along sides of the gate structure; and thermal annealing the substrate when forming the spacers, the thermal annealing performed at an ultra-low temperature. An integrated circuit having high performance CMOS devices with low parasitic junction capacitance may be made by forming a gate structure over a substrate; forming pocket implant regions and source/drain extensions in the substrate; forming spacers along sides of the gate structure; performing a low dosage source/drain implant; and performing a high dosage source/drain implant.
    Type: Application
    Filed: August 14, 2008
    Publication date: December 11, 2008
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Hao Wang, Ta-Wei Wang, Chenming Hu
  • Publication number: 20080277734
    Abstract: The present invention includes methods for stressing transistor channels of semiconductor device structures. Such methods include the formation of so-called near-surface “nanocavities” adjacent to the source/drain regions, forming extensions of the source/drain regions adjacent to and including the nanocavities, and implanting matter of a type that will expand or contract the volume of the nanocavties, depending respectively upon whether compressive strain is desirable in transistor channels between the nanocavities, as in PMOS field effect transistors, or tensile strain is wanted in transistor channels, as in NMOS field effect transistors, to enhance carrier mobility and transistor speed. Semiconductor device structures and semiconductor devices including these features are also disclosed.
    Type: Application
    Filed: May 8, 2007
    Publication date: November 13, 2008
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Arup Bhattacharyya, Leonard Forbes, Paul A. Farrar
  • Patent number: 7449379
    Abstract: On an insulation layer 12 formed on a silicon substrate 10, there are formed in an NMOS transistor region 16 an NMOS transistor 14 comprising a silicon layer 34, a lattice-relaxed silicon germanium layer 22 formed on the silicon layer 34, a tensile-strained silicon layer 24 formed on the silicon germanium layer 22 and a gate electrode 28 formed on the silicon layer 24 with a gate insulation film 26 formed therebetween and in a PMOS transistor region 20 a PMOS transistor 18 comprising a silicon layer 34, a compression-strained silicon germanium layer formed on the silicon layer 34 and a gate electrode 28 formed on the silicon germanium layer 36 with a gate insulation film 26 formed therebetween.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: November 11, 2008
    Assignee: Fujitsu Limited
    Inventors: Hirosato Ochimizu, Yasuyoshi Mishima
  • Patent number: 7446003
    Abstract: A process manufactures power MOS lateral transistors together with CMOS devices on a semiconductor substrate. The process forms a lateral MOS transistor having a gate electrode on the semiconductor region, a source comprising a first highly doped portion aligned with the gate electrode and a drain comprising a lightly doped portion aligned with the gate electrode and a second highly doped portion included in the lightly doped portion. The process forms on the lightly doped portion, a protective layer of a first material; forms on the lateral MOS transistor, a dielectric layer of a second material selectively etchable with respect to the first material; forms, in the dielectric layer first, second, and third openings; and fills the openings with a conductive layer that forms drain and source contacts electrically connected to the first and second highly doped portions, and one electrical shield substantially aligned with the protective layer.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: November 4, 2008
    Assignee: STMicroelectronics S.r.l.
    Inventors: Alessandro Moscatelli, Claudia Raffaglio
  • Patent number: 7442600
    Abstract: The invention includes methods of forming channel region implants for two transistor devices simultaneously, in which a mask is utilized to block a larger percentage of a channel region location of one of the devices relative to the other. The invention also pertains to methods of forming capacitor structures in which a first capacitor electrode is spaced from a semiconductor substrate by a dielectric material, a second capacitor electrode comprises a conductively-doped diffusion region within the semiconductor material, and a capacitor channel region location is beneath the dielectric material and adjacent the conductively-doped diffusion region. An implant mask is formed to cover only a first portion of the capacitor channel region location and to leave a second portion of the capacitor channel region location uncovered. While the implant mask is in place, dopant is implanted into the uncovered second portion of the capacitor channel region location.
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: October 28, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Kurt D. Beigel, Fred D. Fishburn, Rongsheng Yang
  • Patent number: 7432141
    Abstract: A method is disclosed to form a large-grain, lightly p-doped polysilicon film suitable for use as a channel region in thin film transistors. The film is preferably deposited lightly in situ doped with boron atoms by an LPCVD method at temperatures sufficiently low that the film is amorphous as deposited. After deposition, such a film contains an advantageous balance of boron, which promotes crystallization, and hydrogen, which retards crystallization. The film is then preferably crystallized by a low-temperature anneal at, for example, about 560 degrees for about twelve hours. Alternatively, crystallization may occur during an oxidation step performed, for example at about 825 degrees for about sixty seconds. The oxidation step forms a gate oxide for a thin film transistor device, for example a tunneling oxide for a SONOS memory thin film transistor device.
    Type: Grant
    Filed: September 8, 2004
    Date of Patent: October 7, 2008
    Assignee: SanDisk 3D LLC
    Inventors: Shuo Gu, Sucheta Nallamothu
  • Patent number: 7413946
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: August 19, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Publication number: 20080188045
    Abstract: Semiconductor devices can be fabricated using conventional designs and process but including specialized structures to reduce or eliminate detrimental effects caused by various forms of radiation. Such semiconductor devices can include the one or more parasitic isolation devices and/or buried guard ring structures disclosed in the present application. The introduction of design and/or process steps to accommodate these novel structures is compatible with conventional CMOS fabrication processes, and can therefore be accomplished at relatively low cost and with relative simplicity.
    Type: Application
    Filed: December 3, 2007
    Publication date: August 7, 2008
    Inventor: Wesley H. Morris
  • Patent number: 7407850
    Abstract: The present invention facilitates semiconductor fabrication by providing methods of fabrication that employ high-k dielectric layers. An n-type well region (304) is formed within a semiconductor body (302). A threshold voltage adjustment implant is performed by implanting a p-type dopant into the n-type well region to form a counter doped region (307). A high-k dielectric layer (308) is formed over the device (300). A polysilicon layer (310) is formed on the high-k dielectric layer and doped n-type. The high-k dielectric layer (308) and the polysilicon layer (310) are patterned to form polysilicon gate structures. P-type source/drain regions (306) are formed within the n-type well region (304).
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: August 5, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Ramesh Venugopal, Christoph Wasshuber, David Barry Scott
  • Publication number: 20080179691
    Abstract: An example of the present application is directed to an integrated circuit having a first plurality of transistors and a second plurality of transistors. Each of the first plurality of transistors comprises a first gate structure oriented in a first direction and each of the second plurality of transistors comprises a second gate structure oriented in a second direction. Each of the first plurality of transistors are formed with at least one more pocket region than each of the second plurality of transistors. Methods for forming the integrated circuit devices of the present application are also disclosed.
    Type: Application
    Filed: January 30, 2007
    Publication date: July 31, 2008
    Inventors: Kamel Benaissa, Greg Baldwin, Shashank Ekbote
  • Patent number: 7402495
    Abstract: A method of manufacturing a semiconductor device includes forming a first semiconductor region of a first conductive type and a second semiconductor region of a second conductive type in a predetermined region of the semiconductor substrate of a first conductive type; and first to third ion implantation processes sequentially executed for controlling threshold voltages corresponding to each transistor formed on the semiconductor substrate the first semiconductor region, and the second semiconductor region respectively. The first ion implantation process is executed in a high-threshold low-voltage transistor forming region of the first semiconductor region after forming the first semiconductor region. The second ion implantation process is executed in a high-threshold low-voltage transistor forming region of the second semiconductor region.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: July 22, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Minori Kajimoto, Mitsuhiro Noguchi
  • Publication number: 20080157196
    Abstract: A DMOS device and a method for fabricating the same are provided. A drift region and a well region are formed simultaneously to provide a DMOS device with the drift and well regions having the same depth. This DMOS device includes a high voltage transistor area and a low voltage transistor area, a drift diffused region formed in the high voltage transistor area, and a well region formed in the low voltage transistor area. A drift diffused region and a well region in the low voltage area are formed simultaneously to reduce the number of ion implantation processes, thereby simplifying the manufacturing process.
    Type: Application
    Filed: December 28, 2007
    Publication date: July 3, 2008
    Inventor: Duck Ki Jang
  • Patent number: 7390719
    Abstract: A semiconductor device having a dual gate is formed on a substrate having a dielectric layer. A first metallic conductive layer is formed on the dielectric layer to a first thickness, and annealed to have a reduced etching rate. A second metallic conductive layer is formed on the first metallic conductive layer to a second thickness that is greater than the first thickness. A portion of the second metallic conductive layer formed in a second area of the substrate is removed using an etching selectivity. A first gate structure having a first metallic gate including the first and the second metallic conductive layers is formed in a first area of the substrate. A second gate structure having a second metallic gate is formed in the second area. A gate dielectric layer is not exposed to an etching chemical due to the first metallic conductive layer, so its dielectric characteristics are not degraded.
    Type: Grant
    Filed: August 1, 2006
    Date of Patent: June 24, 2008
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Taek-Soo Jeon, Yu-Gyun Shin, Sang-Bom Kang, Hag-Ju Cho, Hye-Lan Lee, Sang-Yong Kim