Combined With Formation Of Recessed Oxide By Localized Oxidation Patents (Class 438/425)
  • Patent number: 6787840
    Abstract: A semiconductor chip having a plurality of flash memory devices, shallow trench isolation in the periphery region, and LOCOS isolation in the core region. A hard mask is used first to create the shallow trench isolation. The LOCOS isolation is then created. Subsequent etching is used to remove stringers. The flash memory is able to use shallow trench isolation to limit encroachment. The flash memory may also have a nitridated tunnel oxide barrier layer. A hard mask is used to prevent nitride contamination of the gate oxide layer. Periphery stacks have hate oxide layers of different thicknesses.
    Type: Grant
    Filed: January 27, 2000
    Date of Patent: September 7, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Tuan Duc Pham, Mark T. Ramsbey, Yu Sun, Chi Chang
  • Patent number: 6777307
    Abstract: A method is provided which includes planarizing structures and/or layers such that step heights of reduced and more uniform thicknesses may be formed. In particular, a method is provided which includes polishing an upper layer of a topography to expose a first underlying layer and etching away remaining portions of the first underlying layer to expose a second underlying layer. The topography may then be subsequently planarized. As such, a method for fabricating shallow trench isolation regions may include forming one or more trenches extending through a stack arranged over a semiconductor substrate. Such a method may further include blanket depositing a dielectric over the trenches and the stack of layers such that the trenches are filled by the dielectric. The dielectric may then be planarized such that upper surfaces of the dielectric remaining within the trenches are coplanar with an upper surface of an adjacent layer of the stack.
    Type: Grant
    Filed: December 4, 2001
    Date of Patent: August 17, 2004
    Assignee: Cypress Semiconductor Corp.
    Inventors: Krishnaswamy Ramkumar, Steven S. Hedayati
  • Patent number: 6768130
    Abstract: A method of forming a semiconductor on insulator structure in a monolithic semiconducting substrate with a bulk semiconductor structure. A first portion of a surface of the monolithic semiconducting substrate is recessed without effecting a second portion of the surface of the monolithic semiconducting substrate. An insulator precursor species is implanted beneath the surface of the recessed first portion of the monolithic semiconducting substrate, and a trench is etched around the implanted and recessed first portion of the monolithic semiconducting substrate. The insulator precursor species is activated to form an insulator layer beneath the surface of the recessed first portion of the monolithic semiconducting substrate. The semiconductor on insulator structure is formed in the first portion of the monolithic semiconducting substrate, and the bulk semiconductor structure is formed in the second portion of the monolithic semiconducting substrate.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: July 27, 2004
    Assignee: LSI Logic Corporation
    Inventor: Matthew J. Comard
  • Patent number: 6764920
    Abstract: A method of semiconductor integrated circuit fabrication. Specifically, one embodiment of the present invention discloses a method for reducing shallow trench isolation (STI) corner recess of silicon in order to reduce STI edge thinning on tunnel oxides (510) for flash memories (devices M and N). An STI process is implemented to isolate flash memory devices (devices M and N) in the semiconductor structure (200). In the STI process, a nitride layer (210) is deposited over a silicon substrate (280). An STI region (290) is formed defining STI corners (240) where a top surface (270) of the silicon substrate (280) and the STI region (290) converge. The STI region (290) is filled with an STI field oxide and planarized until reaching the nitride layer (210). A local oxidation of silicon (LOCOS) is then performed to oxidize the top surface (270) of the silicon substrate adjacent to the STI corners (240).
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Nian Yang, John Jianshi Wang, Unsoon Kim
  • Patent number: 6764922
    Abstract: An oxynitride material is used to form shallow trench isolation regions in an integrated circuit structure. The oxynitride may be used for both the trench liner and trench fill material. The oxynitride liner is formed by nitriding an initially formed oxide trench liner. The oxynitride trench fill material is formed by directly depositing a high density plasma (HDP) oxide mixture of SiH4 and O2 and adding a controlled amount of NH3 to the plasma mixture. The resultant oxynitride structure is much more resistant to trench fill erosion by wet etch, for example, yet results in minimal stress to the surrounding silicon. To further reduce stress, the nitrogen concentration may be varied by varying the proportion of O2 to NH3 in the plasma mixture so that the nitrogen concentration is maximum at the top of the fill material.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: July 20, 2004
    Assignee: International Business Machines Corporation
    Inventors: Klaus D. Beyer, Fen F. Jamin, Patrick R. Varekamp
  • Patent number: 6746966
    Abstract: A method of unblinding an alignment mark comprising the following steps. A substrate having a cell area and an alignment mark within an alignment area is provided. An STI trench is formed into the substrate within the cell area. A silicon oxide layer is formed over the substrate, filling the STI trench and the alignment mark. The silicon oxide layer is planarized to form a planarized STI within the STI trench and leaving silicon oxide within the alignment mark to form a blinded alignment mark. A wet chemical etchant is applied within the alignment mark area over the blinded alignment mark to at least partially remove the silicon oxide within the alignment mark. The remaining silicon oxide is removed from within the blinded alignment mark to unblind the alignment mark. A drop etcher apparatus is also disclosed.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: June 8, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Long Chang, Henry Lo, Shang-Ting Tsai, Yu-Liang Lin
  • Patent number: 6746908
    Abstract: A temperature control method is provided which is capable of performing quick, accurate, and error-free soaking control over all wafer areas to be thermally treated at a target temperature without requiring any skilled operator and which can be automated by using a computer. In the temperature control method of controlling a heating apparatus having at least two heating zones in such a manner that temperatures detected at predetermined locations equal a target temperature therefor, temperatures are detected at predetermined locations the number of which is larger than the number of the heating zones, and the heating apparatus is controlled in such a manner that the target temperature falls between a maximum value and a minimum value of a plurality of detected temperatures.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: June 8, 2004
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Kazuo Tanaka, Masaaki Ueno, Minoru Nakano, Hideto Yamaguchi
  • Patent number: 6727185
    Abstract: A cleanup process that uses a dilute fluorine in oxygen chemistry in a downstream plasma tool to remove organic and inorganic polymeric residues (116).
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: April 27, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Patricia B. Smith, Antonio L. P. Rotondaro, David B. Aldrich, Eric C. Williams
  • Patent number: 6723615
    Abstract: A highly reliable semiconductor device capable of preventing generation of a leakage current is provided. The semiconductor device comprises a silicon substrate having a main surface and including a trench formed on the main surface. The trench is defined by surfaces including a bottom surface, a side surface, continuous to the bottom surface, having first inclination with respect to the main surface, and an intermediate surface, formed between the main surface and the bottom surface, having second inclination smaller than the first inclination with respect to the main surface. The semiconductor device further comprises an n-type impurity region.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: April 20, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Shu Shimizu
  • Patent number: 6720233
    Abstract: In a method of producing a trench insulation in a silicon substrate a first silicon-oxide layer is deposited on a front surface of a sequence of layers including the silicon substrate. Then the first silicon-oxide layer is structured so as to define a mask for a subsequent production of a trench. A trench is etched with a predetermined depth in the silicon substrate making use of the mask and filled with a silicon oxide. Then a first polysilicon layer is conformally deposited on the first silicon-oxide layer and on the oxide-filled trench. The first polysilicon layer is removed in such a way that a polysilicon cover remains on the oxide-filled trench, and the first silicon-oxide layer is removed.
    Type: Grant
    Filed: August 24, 1998
    Date of Patent: April 13, 2004
    Inventor: Werner Muth
  • Patent number: 6716719
    Abstract: An improved isolation structure for use in an integrated circuit and a method for making the same is disclosed. In a preferred embodiment, an silicon dioxide, polysilicon, silicon dioxide stack is formed on a crystalline silicon substrate. The active areas are etched to expose the substrate, and sidewall oxides are formed on the resulting stacks to define the isolation structures, which in a preferred embodiment constitute dielectric boxes containing the polysilicon in their centers. Epitaxial silicon is grown on the exposed areas of substrate so that it is substantially as thick as the isolation structure, and these grown areas define the active areas of the substrate upon which electrical structures such as transistors can be formed. While the dielectric box provides isolation, further isolation can be provided by placing a contact to the polysilicon within the box and by providing a bias voltage to the polysilicon.
    Type: Grant
    Filed: May 29, 2002
    Date of Patent: April 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Darwin A. Clampitt, Shawn D. Lyonsmith, Regan S. Tsui
  • Publication number: 20040063300
    Abstract: A method for forming shallow trenches having different trench fill materials is described. A stop layer is provided on a substrate. A plurality of trenches is etched through the stop layer and into the substrate. A first layer is deposited over the stop layer and filling said trenches. The first layer is planarized to the stop layer leaving the first layer within the trenches. The first layer is removed from a subset of the trenches. A second layer is deposited over the stop layer and within the subset of trenches and planarized to the stop layer leaving the second layer within the subset of trenches to complete fabrication of shallow trenches having different trench fill materials. The trench fill materials may be dielectric layers having different dielectric constants or they may be a dielectric layer and a conducting layer. The method can be extended to provide three or more different trench fill materials.
    Type: Application
    Filed: October 1, 2002
    Publication date: April 1, 2004
    Applicant: Taiwan Semiconductor Manufacturing Company
    Inventor: Min-Hwa Chi
  • Patent number: 6703270
    Abstract: A method of manufacturing a semiconductor device comprises the steps of: forming a patterned masking layer (3) of insulating material at a surface (2) of a semiconductor body (1), etching the semiconductor body (1) through the patterned masking layer (3) so as to form a trench (8) in the semiconductor body (1), applying an insulating layer (10) which fills the trench (8) in the semiconductor body (1), the insulating layer (10) exhibiting a trough (11) above the trench (8), which trough (11) has a bottom area (12) lying substantially above the surface (2) of the semiconductor body (1), subjecting the semiconductor body (1) to a planarizing treatment so as to form a substantially planar surface (15), subjecting the semiconductor body (1) to a further treatment so as to expose the semiconductor body (1) and form a field isolating region (17), characterized in that the insulating layer (10) is removed substantially to the bottom area (12) of the trough (11) by means of chemical mechanical polishing using fi
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: March 9, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Dirk Maarten Knotter, Peter Van Der Velden
  • Patent number: 6699773
    Abstract: A method of forming a shallow trench isolation type semiconductor device comprises forming an etch protecting layer pattern to define at least one active region on a substrate, forming at least one trench by etching the substrate partially by using the etch protecting layer pattern as an etch mask, forming a thermal-oxide film on an inner wall of the trench, filling the trench having the thermal-oxide film with a CVD silicon oxide layer to form an isolation layer, removing the etch protecting layer pattern from the substrate over which the isolation layer is formed, removing the thermal-oxide film formed on a top end of the inner wall of the trench to a depth of 100 to 350 Å, preferably 200 Å from the upper surface of the substrate, and forming a gate oxide film on the substrate from which the active region and the top end are exposed.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: March 2, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Keum-Joo Lee, Young-Min Kwon, Chang-Lyoung Song, In-Seak Hwang
  • Patent number: 6699772
    Abstract: A method for creating a trench for high voltage isolation begins by forming a trench in the substrate having sidewalls and a bottom surface. Spacers are formed along the sidewalls of a trench with the spacers partially covering the bottom surface. A barrier layer is formed on the portion of the bottom surface not covered by the spacers. The spacers are then removed, exposing the bottom surface not covered by the barrier layer. The bottom surface is then further etched to create a second deeper trench which has sidewalls and bottom surface. An insulating layer is then conformally deposited to cover the surface of the substrate including filling the first and second trenches.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: March 2, 2004
    Inventor: Gian Sharma
  • Patent number: 6696348
    Abstract: The present invention enables the production of improved high-speed semiconductor devices. The present invention provides the higher speed offered by strained silicon technology coupled with the smaller overall device size provided by shallow trench isolation technology without relaxation of the portion of the strained silicon layer adjacent to a shallow trench isolation region by laterally extending a shallow trench isolation into the strained silicon layer overlying a silicon germanium layer.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: February 24, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Qi Xiang
  • Patent number: 6682985
    Abstract: A semiconductor device as well as a method of manufacturing a semiconductor device wherein a wide trench separation band is formed without causing the scooping out of the silicon substrate can be gained. The process is provided with the step of forming a multilayer film on a silicon substrate, the step of patterning the multilayer film and of etching the silicon substrate so as to create a trench, the step of forming an inner wall silicon oxide film on the inner wall surface of the trench, the step of forming a trench oxide layer so as to fill in the trench, the step of polishing the trench oxide layer through CMP polishing so as to expose the silicon nitride layer and the step of etching the trench oxide film, which has undergone the CMP polishing, by a thickness no more than the thickness of the inner wall silicon oxide film.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: January 27, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Kojiro Yuzuriha, Naoki Tsuji
  • Patent number: 6680238
    Abstract: A method for manufacturing a semiconductor device includes the steps of: sequentially forming a pad oxide layer, a nitride layer and a first photoresist layer on the semiconductor substrate; patterning the first photoresist layer into a predetermined shape to form a first photoresist layer pattern; etching the pad oxide layer, the nitride layer and the semiconductor substrate by using the first photoresist layer pattern as an etching mask, thereby forming first and second deep trench isolations in the semiconductor substrate; forming a barrier layer on an inside wall of the second deep trench isolation by performing a nitriding process after removing the first photoresist layer pattern and forming a second photoresist layer pattern at a region formed with the first deep trench isolation on the resultant material; and forming a shallow trench isolation by removing the second photoresist layer pattern and then growing silicon in the first deep trench isolation region covered with the second photoresist layer pa
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: January 20, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Woon-young Song
  • Patent number: 6677634
    Abstract: A method for fabricating a semiconductor device and a semiconductor formed by this method, the method including, the steps of sequentially forming a pad oxide film, a polysilicon film, and an antioxidation film on an active region of a semiconductor substrate such that a field region is exposed; etching an exposed portion of the surface of the substrate to a predetermined thickness to form a trench within the substrate; forming a first insulation film along the inner face of the trench by using an oxidation process; forming a stress buffer film on the entire surface of the resultant structure; forming a second insulation film on the stress buffer film such that the trench is sufficiently filled; making the second insulation film planar such that the remaining antioxidation film has a predetermined thickness on the active region of the substrate so as to form a shallow trench isolation within the trench; and sequentially removing the remaining antioxidation film, the polysilicon film, and the pad oxide film.
    Type: Grant
    Filed: October 29, 2001
    Date of Patent: January 13, 2004
    Assignee: Samsung Electronics Co, Ltd.
    Inventors: Sung-Man Hwang, Hyung-Moo Park
  • Publication number: 20040005767
    Abstract: A method of forming an isolation layer in the semiconductor devices is disclosed. The present invention comprises forming the isolating film by means of a method in which a method of forming a V type trench at the isolation region, implanting ions capable of accelerating oxidization action into the center portion of the V type trench, implementing an oxidization process to form an insulating film consisting of an oxide film at the isolation region, and then completely burying the trench with an insulating material, using the LOCOS method, and a method of forming a trench type isolation layer, are applied together. Therefore, as the top corner of the trench is formed with inclination, concentration of the electric field and formation of a moat can be simultaneously prevented.
    Type: Application
    Filed: July 1, 2003
    Publication date: January 8, 2004
    Inventor: Cha Deok Dong
  • Patent number: 6673696
    Abstract: A method of manufacturing an integrated circuit (IC) utilizes a shallow trench isolation (STI) technique. The shallow trench isolation technique is used in strained silicon (SMOS) process. The liner for the trench is formed in a high temperature process after the trench is filled with an insulative material. The insulative material is provided in a low temperature process.
    Type: Grant
    Filed: January 14, 2003
    Date of Patent: January 6, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Farzad Arasnia, Minh-Van Ngo, Qi Ziang
  • Patent number: 6664170
    Abstract: The present disclosure relates to a method for forming a device isolation layer of a semiconductor device by a shallow trench isolation (STI). In the disclosed methods, after a nitride layer is removed from the silicon substrate, an amorphous silicon layer is deposited thereon and is oxidized to form an amorphous spacer at a side wall of the device isolation layer by etching the amorphous silicon layer.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: December 16, 2003
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Won-kwon Lee
  • Publication number: 20030224579
    Abstract: In a method of manufacturing a semiconductor device, a semiconductor substrate having device regions and an isolation region for separating the device region is provided. Then, a trench is formed in the isolation region of the semiconductor substrate. A nitride film is formed on the device regions of the semiconductor substrate. Next, an oxide film is formed within the trench and on the nitride film so that an upper surface of the oxide film within the trench is located more than about 500 Å below an upper surface of the nitride film. Finally, the oxide film is polished by CMP method so that a height of the upper surface of the oxide film within the trench portion is maintained at less than a height of the upper surface of the nitride film adjacent thereto.
    Type: Application
    Filed: November 26, 2002
    Publication date: December 4, 2003
    Inventor: Hideki Murakami
  • Patent number: 6656816
    Abstract: A method for manufacturing a semiconductor device enables the formation of a well optimized for a fine MOS transistor and a well formed deep with a relatively low concentration for a high voltage MOS transistor without increasing the number of manufacturing steps. The method for manufacturing a semiconductor device includes the steps of: forming a first ion implantation expendable film and an etching mask film on a first conductive type semiconductor substrate; patterning the etching mask film into a shape of an active and field region; introducing dopant into the substrate; forming a trench groove on the substrate; forming an insulation film in the trench groove; forming a first well; flattening the insulation film; removing the etching mask film; removing the expendable film; forming a second ion implantation expendable film on the substrate; forming a mask pattern; and forming a second well by introducing dopant into the substrate.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: December 2, 2003
    Assignee: UMC Japan
    Inventor: Yugo Tomioka
  • Patent number: 6649481
    Abstract: The invention discloses methods of fabricating a semiconductor device structure having low source/drain junction capacitances and low junction leakage currents. The low source/drain junction capacitances are obtained by implementing in a self-aligned manner the major portions of the heavily-doped source and drain regions of a device over the trench-isolation region using highly-conductive silicided polycrystalline- or amorphous-semiconductor and the junction leakage currents resulting from the generation/recombination current in the depletion regions of the heavily-doped source and drain junctions due to the implant-induced defects can be much reduced or eliminated. Moreover, the contacts are made on the silicided heavily-doped source and drain regions over the trench-isolation regions, the traditional contact-induced leakage current due to the shallow source/drain junction can be completely eliminated by the present invention.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: November 18, 2003
    Assignee: Silicon-Based Technology Corp.
    Inventor: Ching-Yuan Wu
  • Publication number: 20030207548
    Abstract: A sidewall oxide layer and a sidewall insulation layer are formed to cover the edge portion of an SOI layer. A channel stopper region is formed in the vicinity of the edge portion of the SOI layer. A protruded insulation layer is formed on the channel stopper region. A gate electrode extends from a region over the SOI layer to the protruded insulation layer and the sidewall insulation layer. In this way, reduction in threshold voltage Vth of a parasitic MOS transistor at the edge portion of the SOI layer can be suppressed.
    Type: Application
    Filed: April 28, 2003
    Publication date: November 6, 2003
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA, Tokyo, Japan
    Inventors: Takashi Ipposhi, Toshiaki Iwamatsu, Yasuo Yamaguchi
  • Patent number: 6642124
    Abstract: The present invention provides a semiconductor device that reduces the junction leak current and achieves an improvement in the reliability of the gate oxide film by minimizing divot formation and the occurrence of a kink and a method of manufacturing such a semiconductor device. A pad oxide film and a silicon nitride film are formed on an Si substrate and a groove-like trench is formed through photolithography and etching. The liner oxide of the trench are oxidized through oxidizing/nitriding. Then, the trench is filled with an insulating film, the insulating film is planarized and the silicon nitride film and the pad oxide film are removed. Next, a field area is formed and a transistor is formed by following specific steps. By forming a trench liner oxide film containing nitrogen, stress is reduced.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: November 4, 2003
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Michiko Yamauchi
  • Patent number: 6635553
    Abstract: A microelectronic connection component includes a support such as a dielectric sheet having elongated leads extending along a surface. The leads have terminal ends permanently connected to the support and tip ends releasably connected to the support. The support is juxtaposed with a further element such as a semiconductor chip or wafer, and tip ends of the leads are bonded to contacts on the wafer using a bonding tool advanced through holes in the support. After bonding, the support and the further element are moved away from one another so as to deform the leads.
    Type: Grant
    Filed: November 22, 2000
    Date of Patent: October 21, 2003
    Assignee: Iessera, inc.
    Inventors: Thomas H. DiStefano, John W. Smith
  • Patent number: 6627512
    Abstract: In a combined isolation oxide film (BT1), a part closer to a gate electrode (GT13) reaches a buried oxide film (2) through an SOI layer (3) while a part closer to another gate electrode (GT12) has a sectional shape provided with a well region on its lower portion. The shape of an edge portion of the combined isolation oxide film (BT1) is in the form of a bird's beak in a LOCOS isolation oxide film. Consequently, the thicknesses of portions defining edge portions of the gate oxide films (GO12, GO13) are locally increased. Thus provided are a semiconductor device including a MOS transistor having a gate oxide film prevented from dielectric breakdown without increasing its thickness and a method of manufacturing the same.
    Type: Grant
    Filed: March 6, 2002
    Date of Patent: September 30, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Toshiaki Iwamatsu, Takashi Ipposhi, Takuji Matsumoto
  • Patent number: 6624044
    Abstract: First, a trench of a semiconductor substrate is filled with a polysilicon film deposited on the surface of the semiconductor substrate. A selective thin film having etching selectivity with respect to the polysilicon film is formed on the polysilicon film. Then, the selective thin film is etched (etched back) so that a part of the selective thin film remains in a depression of the polysilicon film, as a self-aligning mask. The polysilicon film is further etched with the self-aligning mask, thereby forming a polysilicon embedded layer in the trench with a flat surface.
    Type: Grant
    Filed: May 11, 2001
    Date of Patent: September 23, 2003
    Assignee: Denso Corporation
    Inventors: Hiroyasu Ito, Takafumi Arakawa, Masatoshi Kato
  • Patent number: 6613639
    Abstract: A method of forming a semiconductor on insulator structure in a monolithic semiconducting substrate with a bulk semiconductor structure. A first portion of a surface of the monolithic semiconducting substrate is recessed without effecting a second portion of the surface of the monolithic semiconducting substrate. An insulator precursor species is implanted beneath the surface of the recessed first portion of the monolithic semiconducting substrate, and a trench is etched around the implanted and recessed first portion of the monolithic semiconducting substrate. The insulator precursor species is activated to form an insulator layer beneath the surface of the recessed first portion of the monolithic semiconducting substrate. The semiconductor on insulator structure is formed in the first portion of the monolithic semiconducting substrate, and the bulk semiconductor structure is formed in the second portion of the monolithic semiconducting substrate.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: September 2, 2003
    Assignee: LSI Logic Corporation
    Inventor: Matthew J. Comard
  • Patent number: 6613644
    Abstract: A method for forming a dielectric zone in a region of a semiconductor substrate is described. A first trench and a second trench are formed in the region of the semiconductor substrate resulting in a web being formed between the first trench and the second trench. Afterward, a first dielectric layer is deposited in the first trench and the second trench. The web is subsequently removed, a third trench thereby being produced in the semiconductor substrate. Afterwards, a second dielectric layer is formed in the third trench. The first dielectric layer and the second dielectric layer together form a dielectric zone in the semiconductor substrate, on which it is advantageously possible to dispose components with substrate decoupling.
    Type: Grant
    Filed: August 22, 2001
    Date of Patent: September 2, 2003
    Assignee: Infineon Technologies AG
    Inventor: Rudolf Lachner
  • Publication number: 20030148588
    Abstract: For manufacturing an SOI substrate, the following steps are carried out: providing a wafer of semiconductor material; forming, inside the wafer, a plurality of passages forming a labyrinthine cavity and laterally delimiting a plurality of pillars of semiconductor material; and oxidizing the pillars of semiconductor material to form a buried insulating layer. For forming the labyrinthine cavity, a trench is first formed in a substrate; an epitaxial layer is grown, which closes the trench at the top; the wafer is annealed so as to deform the pillars and cause them to assume a minimum-energy handlebar-like shape, and a peripheral portion of the wafer is removed to reach the labyrinthine cavity, and side inlet openings are formed in the labyrinthine cavity. Oxidation is performed by feeding an oxidizing fluid through the side inlet openings.
    Type: Application
    Filed: December 26, 2002
    Publication date: August 7, 2003
    Applicant: STMicroelectronics S.r.l.
    Inventors: Flavio Villa, Gabriele Barlocchi, Pietro Corona
  • Patent number: 6599812
    Abstract: A method for manufacturing a thick oxide layer on a semiconductive substrate is presented. The method comprises the formation of at least one layer of dielectric material on said substrate, followed by formation of a plurality of trench regions of a predetermined width in the substrate. A plurality of corresponding walls of semiconductive material of a second predetermined width are delimited. Finally, the semiconductor is submitted to a thermal treatment to oxidize said walls.
    Type: Grant
    Filed: October 22, 1999
    Date of Patent: July 29, 2003
    Assignee: STMicroelectronics S.r.l.
    Inventor: Sergio Palara
  • Patent number: 6596608
    Abstract: To provide a method for producing a non-volatile semiconductor memory device that can form trenches having different depths in a reduced number of processes.
    Type: Grant
    Filed: June 21, 2002
    Date of Patent: July 22, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Kenji Saito
  • Patent number: 6593206
    Abstract: In one aspect, the invention includes an isolation region forming method comprising: a) forming an oxide layer over a substrate; b) forming a nitride layer over the oxide layer, the nitride layer and oxide layer having a pattern of openings extending therethrough to expose portions of the underlying substrate; c) etching the exposed portions of the underlying substrate to form openings extending into the substrate; d) after etching the exposed portions of the underlying substrate, removing portions of the nitride layer while leaving some of the nitride layer remaining over the substrate; and e) after removing portions of the nitride layer, forming oxide within the openings in the substrate, the oxide within the openings forming at least portions of isolation regions.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: July 15, 2003
    Assignee: Micron Technology, Inc.
    Inventors: David L. Dickerson, Richard H. Lane, Charles H. Dennison, Kunal R. Parekh, Mark Fischer, John K. Zahurak
  • Patent number: 6586314
    Abstract: A method of forming a shallow trench isolation (STI), region in a semiconductor substrate featuring a process sequence that results in desired rounded corners for the sides of active device regions located butting the STI region, has been developed. The process sequence features formation of, followed by removal of, a silicon dioxide layer which was thermally grown in a top portion of the semiconductor substrate, wherein the top portion of semiconductor was subjected to an ion implantation procedure prior to the oxidation procedure. The above process sequence results in a recessed portion of semiconductor located adjacent to unoxidized portions of semiconductor which underlay an oxidation resistant shape, and feature rounded corners. Insulator spacers are then formed on the sides of the oxidation resistant shape, overlying and protecting the rounded comers of subsequent active device regions from a dry etch procedure used to selectively define a shallow trench shape in the exposed semiconductor region.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: July 1, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Soh Yun Siah, Liang Choo Hsia, Jia Zhen Zheng, Chew Hoe Ang
  • Patent number: 6583060
    Abstract: A dual depth trench isolation structure formed between active devices and conductive well regions of same conductivity type which comprises a first inter-well isolation structure having a first isolation trench depth, a second inter-well isolation structure having a second isolation trench depth which combine to form a dual depth trench containing the dual depth trench isolation structure comprising the first inter-well isolation structure and the second inter-well isolation structure, with the dual depth trench isolation interposed at the boundary of an n-well conductive region and a p-well conductive region, a first intra-well isolation structure having a first isolation trench depth, the first intra-well isolation structure interposed between a pair of p-channel transistors residing in the n-well region, and a second intra-well isolation structure having a second isolation trench depth, the second intra-well isolation structure interposed between a pair of n-channel transistors residing in the p-well regio
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: June 24, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Jigish Trivedi
  • Patent number: 6579777
    Abstract: A method of forming a localized oxidation having reduced bird's beak encroachment in a semiconductor device by providing an opening in the silicon substrate that has sloped sidewalls with a taper between about 10° and about 75° as measured from the vertical axis of the recess opening and then growing field oxide within the tapered recess opening for forming the localized oxidation.
    Type: Grant
    Filed: January 16, 1996
    Date of Patent: June 17, 2003
    Assignees: Cypress Semiconductor Corp., LSI Logic Corporation
    Inventors: Ting P. Yen, Pamela S. Trammel, Philippe Schoenborn, Alexander H. Owens
  • Publication number: 20030109113
    Abstract: The present invention provides a method of making an ID code of ROM and a structure thereof, wherein an ROM code is implanted into channel regions between a plurality of bit lines and the region covered by a plurality of word lines on a semiconductor substrate. A field oxide for marking is situated at a predetermined position of the semiconductor substrate. A mark layer is attached on the surface of the field oxide using material different from oxide. The mark layer has a set of mark numbers to form an ID code structure. The formed ID code of the present invention can be clearly identified.
    Type: Application
    Filed: December 7, 2001
    Publication date: June 12, 2003
    Inventors: Wen-Ying Wen, Nai-Tsung Hsu
  • Patent number: 6576957
    Abstract: The buried oxide region has a layer added which etches selectively with respect to oxide, allowing the contacts to a gate or to a back gate to be created without overetching into the buried oxide region.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: June 10, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Patent number: 6566207
    Abstract: A method of fabricating a semiconductor device in which a LOCOS profile characteristic is applied to a normal shallow trench isolation (STI) structure thereby lowering compressive stress that is concentrated on the side of the STI and preventing a thinning phenomenon by which the oxide film is formed in a relatively thin thickness at the boundary of the STI and the gate oxide film for high voltage (HV) region. The STI of a CVD oxide material including an angular bird's beak extension structure is formed in a field region, a gate oxide film is formed in a relatively thick thickness in a HV region by using a nitride film as a mask, and a gate oxide film having a relatively thin thickness is formed in a low voltage (LV) region.
    Type: Grant
    Filed: April 8, 2002
    Date of Patent: May 20, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Joo-Han Park
  • Patent number: 6559028
    Abstract: The method as disclosed reduces the topological step between the uppermost surface of a substrate and the uppermost surface of a shallow trench isolation feature. The method includes the steps of forming a pad oxide layer overlying a substrate, forming a stop layer overlying the pad oxide layer, forming a second oxide layer overlying the stop layer, forming a patterning layer overlying the second oxide layer, and patterning the patterning layer and underlying stack to form an exposed portion of the substrate. The exposed portion of substrate is etched to form a trench, and the remaining portion of the oxidation resistant layer is removed. Further, a dielectric layer is formed overlying the remaining portion of the second oxide layer, and filling the trench. A portion of the dielectric layer is removed to leave the top of the dielectric layer substantially level with the stop layer, and then the stop layer is removed.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: May 6, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Fred N. Hause, Michael B. Allen
  • Patent number: 6559031
    Abstract: A method of fabricating a semiconductor device capable of sufficiently rounding an opening upper end of an element isolation trench is obtained. This method of fabricating a semiconductor device comprises steps of forming an element isolation trench on a semiconductor substrate, performing thermal oxidation on at least an opening upper end of the element isolation trench while increasing the atmosphere temperature of the semiconductor substrate beyond a prescribed temperature thereby forming a first oxide film and suppressing formation of the first oxide film on the opening upper end before the atmosphere temperature is increased beyond the prescribed temperature. Thus, the semiconductor substrate is prevented from oxidation under a low temperature, whereby oxidation is more thickly performed by thermal oxidation in a high-temperature region while relaxing stress applied to the semiconductor substrate.
    Type: Grant
    Filed: September 24, 2001
    Date of Patent: May 6, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Kazunori Fujita
  • Patent number: 6551902
    Abstract: A laterally insulated buried zone of increased conductivity is fabricated in a semiconductor substrate. First, a reference layer is formed on a substrate with a buried zone of increased conductivity. Then the reference layer is patterned. A trench is produced in the substrate, and the insulation material used for filling the trench is applied to the structure thus produced. A planar surface is thereby formed in that the growth rate in the trench is faster than the growth rate on the reference layer adjacent the trench. Here, the reference layer is chosen such that the growth rate of the insulation material on the reference layer is at least a factor of two less than the growth rate of the insulation material on the surface of the trench which is to covered. This trench surface to be covered will usually be composed of substrate material. However, intermediate layers may also be provided.
    Type: Grant
    Filed: January 25, 1999
    Date of Patent: April 22, 2003
    Assignee: Infineon Technologies AG
    Inventors: Norbert Elbel, Zvonimir Gabric, Bernhard Neureither
  • Patent number: 6548345
    Abstract: Methods of forming merged logic DRAM devices on silicon-on-insulator (SOI) wafers having a relatively thick buried oxide region, where deep trenches are etched into the SOI substrate without etching through the buried oxide layer are provided. The methods of the present invention provide high performance SOI merged logic DRAM devices.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: April 15, 2003
    Assignee: International Business Machines Corporation
    Inventors: Mark Charles Hakey, William Hsioh-Lien Ma
  • Patent number: 6544839
    Abstract: A semiconductor integrated circuit device and a method of manufacturing the same. The surface of a substrate of an active region surrounded by an element isolation trench is horizontally flat in the center portion of the active region but falls toward the side wall of the element isolation trench in the shoulder portion of the active region. This inclined surface contains two inclined surfaces having different inclination angles. The first inclined surface near the center portion of the active region is relatively steep and the second inclined surface near the side wall of the element isolation trench is gentler than the first inclined surface. The surface of the substrate in the shoulder portion of the active region is wholly rounded and has no angular portion.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: April 8, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Kenji Kanamitsu, Kouzou Watanabe, Norio Suzuki, Norio Ishitsuka
  • Publication number: 20030032260
    Abstract: A process for making a semiconductor structure, includes forming a second dielectric layer on exposed regions of an intermediate structure. The intermediate structure includes: a semiconductor substrate having the regions, a first dielectric layer on at least a first portion of the semiconductor substrate, an etch-stop layer on at least a second portion of the first dielectric layer, and spacers on at least a third portion of said semiconductor substrate. The spacers are adjacent edges of the etch-stop layer and adjacent the exposed regions.
    Type: Application
    Filed: February 16, 2000
    Publication date: February 13, 2003
    Inventors: Yongchul Ahn, Kaichiu Wong
  • Patent number: 6518146
    Abstract: A semiconductor device has both a logic section and a non-volatile memory (NVM) section. Transistors in both sections are separated by trench isolation. The logic isolation has narrower trenches than NVM trenches and both types of trenches have corners at the tops thereof. The trenches are lined by growing an oxide that is necessarily to take care of the plasma damage of the substrate, which is preferably silicon, that occurs during the formation of the trenches. These oxide liners are grown to a greater thickness in the NVM trenches than in the logic trenches to obtain a greater degree of corner rounding in the NVM trenches. This growth differential is achieved by selectively implanting the NVM trenches with a species that speeds oxide growth or selectively implanting the logic trenches with a species that retards oxide growth. As a further alternative, the NVM trenches can be implanted with a growth enhancing species and the logic trenches with a retarding species.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: February 11, 2003
    Assignee: Motorola, Inc.
    Inventors: Rana P. Singh, Paul A. Ingersoll
  • Patent number: 6518145
    Abstract: A method of manufacturing a semiconductor trench device comprises forming a dielectric on a substrate, the dielectric having an underlying oxide layer adjacent the substrate, etching a trench in the dielectric and the substrate, forming a recess in the underlying oxide layer, filling the recess with a nitride plug, filling the trench a conductive material and oxidizing the dielectric and the conductive material, wherein the nitride plug controls a shape of a corner of the trench.
    Type: Grant
    Filed: August 6, 1998
    Date of Patent: February 11, 2003
    Assignees: International Business Machines Corporation, Siemens Aktiengesellschaft
    Inventors: Johann Alsmeier, George R. Goth, Max G. Levy, Victor R. Nastasi, James A. O'Neill, Paul C. Parries