Ionized Radiation (e.g., Corpuscular Or Plasma Treatment, Etc.) Patents (Class 438/474)
  • Patent number: 7910497
    Abstract: Methods of forming dielectric layers on a substrate comprising silicon and oxygen are disclosed herein. In some embodiments, a method of forming a dielectric layer on a substrate includes provide a substrate having an exposed silicon oxide layer; treating an upper surface of the silicon oxide layer with a plasma; and depositing a silicon nitride layer on the treated silicon oxide layer via atomic layer deposition. The silicon nitride layer may be exposed to a plasma nitridation process. The silicon oxide and silicon nitride layers may be subsequently thermally annealed. The dielectric layers may be used as part of a gate structure.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Christopher S. Olsen, Tejal Goyani, Johanes Swenberg
  • Patent number: 7879694
    Abstract: A system and method is described for applying a pre-gate plasma etch in a semiconductor device manufacturing process in order to increase the integrity of a subsequently grown gate oxide layer. During the manufacture of a semiconductor device a sacrificial oxide layer is grown over a silicon substrate. The pre-gate plasma etch process is applied to the sacrificial oxide layer. Then the sacrificial oxide layer is stripped away and a gate oxide layer is grown over the silicon substrate. The gate oxide layer has an increased integrity due to the application of the pre-gate plasma etch process.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: February 1, 2011
    Assignee: National Semiconductor Corporation
    Inventor: Richard W. Foote
  • Patent number: 7855127
    Abstract: A method for manufacturing a semiconductor substrate including: epitaxially growing a silicon germanium (SiGe) film on a silicon (Si) substrate by a chemical vapor deposition method; subjecting a heat treatment to the SiGe film at a temperature of not less than 700° C. and not more than 1200° C.; implanting hydrogen ions into a surface of the SiGe film; subjecting a surface activation treatment to a main surface of at least one of the SiGe film and a support substrate; bonding main surfaces of the SiGe film and the support substrate at a temperature of not less than 100° C. and not more than 400° C.; and applying an external impact to a bonding interface between the SiGe film and the support substrate to delaminate the SiGe crystal along a hydrogen ion implanted interface of the SiGe film, thereby forming a SiGe thin film on the main surface of the support substrate.
    Type: Grant
    Filed: January 29, 2008
    Date of Patent: December 21, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shoji Akiyama, Yoshihiro Kubota, Atsuo Ito, Koichi Tanaka, Makoto Kawai, Yuuji Tobisaka
  • Patent number: 7833882
    Abstract: A method of producing a semiconductor device, including: a first plasma processing step of processing a surface of a resin layer laid on a semiconductor element and containing silicon, with a first plasma generated from a gas containing oxygen and fluorine, thereby forming an oxide film; and an electrode pad forming step of forming an electrode pad of a metal on the oxide film.
    Type: Grant
    Filed: January 10, 2007
    Date of Patent: November 16, 2010
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Yukihiro Tsuji, Toshio Nomaguchi
  • Patent number: 7829433
    Abstract: A manufacturing method of a semiconductor substrate is provided, in which a bonding strength can be increased even when a substrate having low heat resistant temperature, e.g., a glass substrate, is used. Heat treatment is conducted at a temperature higher than or equal to a strain point of a support substrate in an oxidation atmosphere containing halogen, so that a surface of a semiconductor substrate is covered with an insulating film. A separation layer is formed in the semiconductor substrate. A blocking layer is provided. Then, heat treatment is conducted in a state in which the semiconductor substrate and the support substrate are superposed with the silicon oxide film therebetween, at a temperature lower than or equal to the support substrate, so that a part of the semiconductor substrate is separated at the separation layer. In this manner, a single crystal semiconductor layer is formed on the support substrate.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: November 9, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7799580
    Abstract: A method for manufacturing a ferroelectric memory device includes the steps of: forming a ferroelectric capacitor on a substrate; forming a hydrogen barrier film that covers the ferroelectric capacitor; forming a dielectric film that covers the hydrogen barrier film; and forming a through hole that penetrates the dielectric film and the hydrogen barrier film by etching that uses a mixed gas containing perfluorocarbon gas and oxygen gas, wherein the flow quantity of the perfluorocarbon gas is 0.77 times or more but 3.8 times or less the flow quantity of the oxygen gas.
    Type: Grant
    Filed: July 14, 2008
    Date of Patent: September 21, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Osamu Sakato, Takeshi Kokubun
  • Patent number: 7799661
    Abstract: A device (101) for controlling the treatment of a substrate (102) with a plasma (103) is provided which comprises (a) a plasma chamber (104) adapted to generate a plasma (103); (b) a sensor (113) equipped with first (115) and second (117) electrodes that are exposed to the plasma generated within the chamber, said sensor being adapted to (i) apply a first low frequency voltage V1 to the first electrode, (ii) apply a plurality of high frequency voltages V2 . . . Vn to the first electrode, where n?2, and (iii) measure the respective currents I1 . . . In flowing through the second electrode during application of each of the voltages V1 . . . Vn, respectively; and (c) a data processing device (121) adapted to determine the densities of a plurality of ion species based on currents I1 . . . In and on a mathematical model or on calibration data relating to the plasma chamber.
    Type: Grant
    Filed: January 3, 2006
    Date of Patent: September 21, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Shahid Rauf
  • Patent number: 7763500
    Abstract: First, a base structure provided with the main parts of a memory cell is prepared, and a lower electrode comprising a polycrystalline silicon film is thereafter formed on the base structure. Next, the surface of the lower electrode is thermally nitrided at a predetermined temperature to form a silicon nitride film. In the thermal nitridation of the lower electrode, the temperature is increased to a predetermined nitriding temperature, after which the temperature is reduced at a rate that is more gradual than usual. Aluminum oxide (Al2O3) or another metal oxide dielectric film is thereafter formed as the capacitive insulating film on the lower electrode, and an upper electrode is formed on the capacitive insulating film.
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: July 27, 2010
    Assignee: Elpida Memory, Inc.
    Inventors: Takashi Arao, Kenichi Koyanagi, Kenji Komeda, Naruhiko Nakanishi, Hideki Gomi
  • Publication number: 20100173476
    Abstract: A method for manufacturing a semiconductor device according to the invention irradiates a first pulse laser beam with an irradiation energy density of 1.0 J/cm2 or higher to blow off particles on the surface of wafer in activating an impurity layer positioned at a shallow location from the surface of wafer such as pt-type collector layer in an FS-type IGBT or in an NPT-type IGBT. By irradiating a second laser beam, region, on which particles were, is activated in the same manner as the region, on which particles are not, and pt-type collector layer is formed uniformly. The manufacturing method according to the invention facilitates preventing nonuniform laser beam irradiation from causing in laser annealing and preventing defective devices from causing.
    Type: Application
    Filed: December 11, 2009
    Publication date: July 8, 2010
    Applicant: FUJI ELECTRIC SYSTEMS CO., LTD.
    Inventor: Haruo Nakazawa
  • Publication number: 20100167509
    Abstract: A method for producing a buried n-doped semiconductor zone in a semiconductor body. In one embodiment, the method includes producing an oxygen concentration at least in the region to be doped in the semiconductor body. The semiconductor body is irradiated via one side with nondoping particles for producing defects in the region to be doped. A thermal process is carried out. The invention additionally relates to a semiconductor component with a field stop zone.
    Type: Application
    Filed: March 8, 2010
    Publication date: July 1, 2010
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Hans-Joachim Schulze, Josef Lutz, Franz-Josef Niedernostheide, Ralf Siemieniec
  • Patent number: 7732304
    Abstract: A method of manufacturing a semiconductor device according to embodiments includes forming an interlayer dielectric film with a damascene pattern over a semiconductor substrate having a lower metal wire. A seed layer may be formed over the interlayer dielectric film including the damascene pattern. Impurities generated during the formation of the seed layer be removed through an annealing process using H2. A copper wire may then be formed by filling the damascene pattern.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: June 8, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Young-Seok Jeong
  • Patent number: 7713842
    Abstract: In a method for producing a bonded wafer by bonding a wafer for active layer to wafer for support layer and then thinning the wafer for active layer, a terrace grinding for forming a terrace portion is carried out prior to a step of exposing the oxygen ion implanted layer to thereby leave an oxide film on a terrace portion of the wafer for support layer.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: May 11, 2010
    Assignee: Sumco Corporation
    Inventors: Hideki Nishihata, Isoroku Ono, Akihiko Endo
  • Patent number: 7709814
    Abstract: Apparatuses and processes for treating dielectric materials such as low k dielectric materials, premetal dielectric materials, barrier layers, and the like, generally comprise a radiation source module, a process chamber module coupled to the radiation source module; and a loadlock chamber module in operative communication with the process chamber and a wafer handler. The atmosphere of each one of the modules can be controlled as may be desired for different types of dielectric materials. The radiation source module includes a reflector, an ultraviolet radiation source, and a plate transmissive to the wavelengths of about 150 nm to about 300 nm, to define a sealed interior region, wherein the sealed interior region is in fluid communication with a fluid source.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: May 4, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: Carlo Waldfried, Christopher Garmer, Orlando Escorcia, Ivan Berry, III, Palani Sakthivel, Alan C. Janos
  • Patent number: 7682915
    Abstract: The embodiments of the invention provide a method, etc. for a pre-epitaxial disposable spacer integration scheme with very low temperature selective epitaxy for enhanced device performance. More specifically, one method begins by forming a first gate and a second gate on a substrate. Next, an oxide layer is formed on the first and second gates; and, a nitride layer is formed on the oxide layer. Portions of the nitride layer proximate the first gate, portions of the oxide layer proximate the first gate, and portions of the substrate proximate the first gate are removed so as to form source and drain recesses proximate the first gate. Following this, the method removes remaining portions of the nitride layer, including exposing remaining portions of the oxide layer. The removal of the remaining portions of the nitride layer only exposes the remaining portions of the oxide layer and the source and drain recesses.
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: March 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Judson R. Holt, Kern Rim, Dominic J. Schepis
  • Patent number: 7651955
    Abstract: Embodiments of the invention generally provide a method for depositing films or layers using a UV source during a photoexcitation process. The films are deposited on a substrate and usually contain a material, such as silicon (e.g., epitaxy, crystalline, microcrystalline, polysilicon, or amorphous), silicon oxide, silicon nitride, silicon oxynitride, or other silicon-containing materials. The photoexcitation process may expose the substrate and/or gases to an energy beam or flux prior to, during, or subsequent a deposition process. Therefore, the photoexcitation process may be used to pre-treat or post-treat the substrate or material, to deposit the silicon-containing material, and to enhance chamber cleaning processes.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Joseph M. Ranish, Kaushal K. Singh
  • Patent number: 7645682
    Abstract: The invention relates to improvements in a method for molecularly bonding first and second substrates together by placing them in surface to surface contact. The improvement includes, prior to placing the substrates in contact, cleaning the surface of one or both of the substrates in a manner to provide a cleaned surface that is slightly roughened compared to a conventionally polished surface, and heating at least one or both of the substrates prior to placing the substrates in contact while retaining the heating at least until the substrates are in surface to surface contact.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: January 12, 2010
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Sebastien Kerdiles, Willy Michel, Walter Schwarzenbach, Daniel Delprat
  • Patent number: 7629196
    Abstract: A method is disclosed for manufacturing an integrated circuit that has increased radiation hardness and reliability. A device active area of an integrated circuit is provided and a layer of radiation resistant material is applied to the device active area of the integrated circuit. In one advantageous embodiment the radiation resistant material is silicon carbide. In another advantageous embodiment a passivation layer is placed between the device active area and the layer of radiation resistant material. The integrated circuit of the present invention exhibits minimal sensitivity to (1) enhanced low dose rate sensitivity (ELDRS) effects of radiation, and (2) pre-irradiation elevated temperature stress (PETS) effects of radiation.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: December 8, 2009
    Assignee: National Semiconductor Corporation
    Inventor: Michael C. Maher
  • Patent number: 7618879
    Abstract: This invention is directed to a process for heat-treating a single crystal silicon segment to influence the profile of minority carrier recombination centers in the segment. The segment is subjected to a heat-treatment to form crystal lattice vacancies, the vacancies being formed in the bulk of the silicon. The segment is then cooled at a rate which allows some, but not all, of the crystal lattice vacancies to diffuse to the front surface to produce a segment having the desired vacancy concentration profile. Platinum atoms are then in-diffused into the silicon matrix such that the resulting platinum concentration profile is substantially related to the concentration profile of the crystal lattice vacancies.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: November 17, 2009
    Assignee: MEMC Electronics Materials, Inc.
    Inventor: Robert J. Falster
  • Patent number: 7611970
    Abstract: A water processing method for providing a gettering sink effect to a wafer having a plurality of streets which are formed in a lattice pattern on the front surface of a substrate and devices which are formed in a plurality of areas sectioned by the plurality of streets, comprising the steps of removing distortion produced on the rear surface of the substrate of the wafer whose rear surface of the substrate has been ground to a predetermined thickness; forming a gettering sink effect layer by applying a laser beam of a wavelength having permeability for the substrate of the wafer which has undergone the distortion removing step, with its focal point set to the inside of the substrate to form a deteriorated layer in the inside of the substrate; and dividing the wafer which has undergone the gettering sink effect layer forming step, into individual chips along the streets.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: November 3, 2009
    Assignee: Disco Corporation
    Inventor: Toshiyuki Sakai
  • Publication number: 20090246939
    Abstract: A dehydrogenation treatment method which includes forming a hydrogenated amorphous silicon film above a non-heat-resistant substrate, and eliminating bonded hydrogen from the hydrogenated amorphous silicon film by irradiating an atmospheric thermal plasma discharge to the hydrogenated amorphous silicon film for a time period of 1 to 500 ms. The surface of the substrate is heated at a temperature of 1000 to 2000° C. by irradiating the atmospheric thermal plasma discharge.
    Type: Application
    Filed: March 4, 2009
    Publication date: October 1, 2009
    Inventors: Kazufumi AZUMA, Hajime Shirai
  • Patent number: 7572740
    Abstract: A method for producing a Group IV semiconductor thin film in a chamber is disclosed. The method includes positioning a substrate in the chamber, wherein the chamber further has a chamber pressure. The method further includes depositing a nanoparticle ink on the substrate, the nanoparticle ink including set of Group IV semiconductor nanoparticles and a solvent, wherein each nanoparticle of the set of Group IV semiconductor nanoparticles includes a nanoparticle surface, wherein a layer of Group IV semiconductor nanoparticles is formed. The method also includes striking a hydrogen plasma; and heating the layer of Group IV semiconductor nanoparticles to a fabrication temperature of between about 300° C. and about 1350° C., and between about 1 nanosecond and about 10 minutes; wherein the Group IV semiconductor thin film is formed.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: August 11, 2009
    Assignee: Innovalight, Inc.
    Inventors: Mason Terry, Malcolm Abbott, Maxim Kelman, Andreas Meisel, Dmitry Poplavskyy, Eric Schiff
  • Patent number: 7544265
    Abstract: The invention relates to a method of fabricating a release substrate produced from semiconductor materials, the method comprising creating a reversible connection between two substrate release layers characterized in that the reversible connection is formed by a connecting layer produced using a first material as the basis, the connecting layer further comprising a nanoparticle concentrating zone of a second material disposed to facilitate release of the substrate, the first and second materials being selected to maintain the bonding energy of the reversible connection substantially constant even when the substrate is exposed to heat treatment.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: June 9, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Pierre Rayssac, legal representative, Gisele Rayssac, legal representative, Takeshi Akatsu, Olivier Rayssac
  • Patent number: 7538012
    Abstract: The present invention is made to solve a problem to improve adhesion between a fluorine-containing carbon film and a foundation film. In order to achieve this object, according to the present invention, a fluorine-containing carbon film forming method of forming a fluorine-containing carbon film on a to-be-processed substrate includes: a first process of carrying out plasma excitation of a rare gas, and carrying out a surface treatment of the to-be-processed substrate with the use of the thus-plasma-excited rare gas with a substrate processing apparatus; and a second process of forming the fluorine-containing carbon film on the to-be-processed substrate, wherein the substrate processing apparatus has a microwave antenna electrically connected to a microwave power source.
    Type: Grant
    Filed: May 19, 2004
    Date of Patent: May 26, 2009
    Assignees: Tadahiro OHMI, Tokyo Electron Limited
    Inventors: Tadahiro Ohmi, Masaki Hirayama
  • Publication number: 20090104754
    Abstract: Embodiments of methods for improving electrical leakage performance and minimizing electromigration in semiconductor devices are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: December 18, 2008
    Publication date: April 23, 2009
    Applicant: TEL EPION INC.
    Inventors: Noel Russell, Steven Sherman, John J. Hautala
  • Patent number: 7498242
    Abstract: Method and structures are provided for conformal lining of dual damascene structures in integrated circuits. Preferred embodiments are directed to providing conformal lining over openings formed in porous materials. Trenches are formed in, preferably, insulating layers. The layers are then adequately treated with a particular plasma process. Following this plasma treatment a self-limiting, self-saturating atomic layer deposition (ALD) reaction can occur without significantly filling the pores forming improved interconnects.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: March 3, 2009
    Assignee: ASM America, Inc.
    Inventors: Devendra Kumar, Kamal Kishore Goundar, Nathanael R. C. Kemeling, Hideaki Fukuda, Hessel Sprey, Maarten Stokhof
  • Patent number: 7485551
    Abstract: The present invention relates to a method of fabricating a semiconductor-on-insulator-type heterostructure that includes at least one insulating layer interposed between a receiver substrate of semiconductor material and an active layer derived from a donor substrate of semiconductor material. The method includes the steps of bonding and active layer transfer. Prior to bonding, an atomic species which is identical or isoelectric with the insulating layer material is implanted in the insulating layer. The implantation forms a trapping layer, which can retain gaseous species present in the various interfaces of the heterostructure, thereby limiting formation of defects on the surface of the active layer.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: February 3, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Xavier Hebras
  • Patent number: 7482273
    Abstract: Radiant electromagnetic energy beam steering method achieved following antenna conversion from electrical current and voltage characterized signals to radiant wave characterized signals by way of the influence of gaseous plasma of controlled plasma density and electron density on the electromagnetic energy. Reflection and refraction mechanisms are used to impose plasma influence on the steered electromagnetic energy. The employed plasma properties are determined by an electrically energized array of electrodes disposed along the plasma extent. Adaptation of the method to widely differing wavelength parts of the electromagnetic energy spectrum is included. A plurality of prior art patents is identified in supplement of present disclosure of the invention.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: January 27, 2009
    Assignee: United States of America as represented by the Secretary of the Air Force
    Inventors: Timothy R. Klein, Stanley Rogers
  • Patent number: 7459390
    Abstract: The present invention provides a method for manufacturing a semiconductor device having multiple gate dielectric thickness layers. The method, in one embodiment, includes forming a first layer of gate dielectric material over a semiconductor substrate in a first active region and a second active region of a semiconductor device, and patterning a masking layer to expose the first layer of gate dielectric material located in the first active region.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: December 2, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Hiroaki Niimi, Reima Tapani Laaksonen
  • Patent number: 7442992
    Abstract: This bonded SOI substrate includes: an SOI layer having a low density impurity layer in which dopants are present at low density and a high density impurity layer in which dopants are present at high density; a wafer for a support substrate which supports said SOI layer; and a buried insulating film, wherein said SOI layer and said wafer for a support substrate are bonded with said buried insulating film therebetween, and gettering sites are formed in said high density impurity layer.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: October 28, 2008
    Assignee: Sumco Corporation
    Inventors: Shinichi Tomita, Masahide Tsutsumi
  • Patent number: 7442592
    Abstract: A technique of reducing fluctuation between elements is provided in which a semiconductor film having a crystal structure is obtained by using a metal element that accelerates crystallization of a semiconductor film and then the metal element remaining in the film is removed effectively. A barrier layer is formed on a semiconductor film having a crystal structure by plasma CVD from monosilane and nitrous oxide as material gas. In a step of forming a gettering site, a semiconductor film having an amorphous structure and containing a high concentration of noble gas element, specifically, 1×1020 to 1×1021/cm3, is formed by plasma CVD. The film is typically an amorphous silicon film. Then gettering is conducted.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: October 28, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Mitsuhiro Ichijo, Taketomi Asami, Noriyoshi Suzuki
  • Patent number: 7442628
    Abstract: A method for manufacturing a semiconductor laser. As a preparative step for coating an end face of a resonator with a dielectric film, a cleavage plane of a semiconductor laminated structure that is to be the end face is subjected to a plasma cleaning to prevent a conductive film, which absorbs laser light, from attaching to the cleavage plane. During the plasma cleaning, a first process gas containing argon gas and nitrogen gas is introduced into a vacuumed ECR sputtering apparatus. After the cleavage plane is exposed to the first process gas in the plasma state for a certain time period without application of a voltage, a second process gas containing argon gas and oxygen gas is introduced, and the cleavage plane is exposed to the second process gas in the plasma state while a voltage is applied to the silicon target.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: October 28, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Keiji Yamane, Tetsuo Ueda, Isao Kidoguchi, Toshiya Kawata
  • Publication number: 20080241547
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include heating a portion of a ceramic substrate adjacent to a C4 area with a defocused laser, wherein a smooth region of the substrate is created, and applying an underfill material to the C4 area, wherein the underfill material does not extend past the smooth region.
    Type: Application
    Filed: March 26, 2007
    Publication date: October 2, 2008
    Inventor: Ravi K. Nalla
  • Patent number: 7374978
    Abstract: A mask is formed selectively on a crystalline silicon film containing a catalyst element, and an amorphous silicon film is formed so as to cover the mask. Phosphorus is implanted into the amorphous silicon film and the portion of the crystalline silicon film which is not covered with the mask. The silicon films are then heated by rapid thermal annealing (RTA). By virtue of the existence of the amorphous silicon film, the temperature of the crystalline silicon film is increased uniformly, whereby the portion of the crystalline silicon film covered with the mask is also heated sufficiently and the catalyst element existing in this region moves to the phosphorus-implanted, amorphous portion having high gettering ability. As a result, the concentration of the catalyst element is reduced in the portion of the silicon film covered with the mask. A semiconductor device is manufactured by using this portion.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: May 20, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hisashi Ohtani
  • Patent number: 7367196
    Abstract: Disclosed herein is an apparatus for generating a spinning cold plasma. A preferred embodiment of the spinning cold plasma apparatus is portable and includes a vortex tube having an inner wall to form a vortex reaction chamber. The vortex tube preferably has a cold gas outlet formed at a first end of the vortex tube and a hot gas outlet formed at a second end of the vortex tube. The vortex tube preferably has a plurality of gas inlet openings formed therein for directing pressurized gas tangentially to the inner wall into the vortex reaction chamber. A preferred embodiment of the portable spinning cold plasma apparatus also includes a valve positioned at least partially within the cold gas outlet and a valve positioned at least partially within the hot gas outlet. The portable device preferably also includes an ionizing device, such as an RF source or microwave source, for transmitting electromagnetic energy into the vortex reaction chamber to ionize pressurized gas therein.
    Type: Grant
    Filed: February 23, 2005
    Date of Patent: May 6, 2008
    Assignee: Princeton BioMeditech Corporation
    Inventors: Choongseock Chang, Jemo Kang, Jaeyoung Park
  • Publication number: 20080035061
    Abstract: A method and apparatus for fabricating a semiconductor device are provided. First plasma ions are introduced into a process chamber including a semiconductor substrate to amorphize the semiconductor substrate. An inert gas is introduced into the process chamber to purge the first plasma ions. Second plasma ions are introduced into the process chamber to remove impurities formed on the semiconductor substrate. The second plasma ions can be hydrogen ions. Since a PAI process and a cleaning process are performed in a single chamber, process efficiency improves. In addition, a cleaning process using hydrogen ions can reduce damage on the surface of the semiconductor substrate.
    Type: Application
    Filed: August 14, 2007
    Publication date: February 14, 2008
    Inventor: SANG CHUL KIM
  • Patent number: 7291568
    Abstract: A method of fabricating a gate dielectric layer, including: providing a substrate; forming a silicon dioxide layer on a top surface of the substrate; performing a plasma nitridation in a reducing atmosphere to convert the silicon dioxide layer into a silicon oxynitride layer. The dielectric layer so formed may be used in the fabrication of MOSFETs.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: November 6, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jay S. Burnham, James S. Nakos, James J. Quinlivan, Bernie A. Roque, Jr., Steven M. Shank, Beth A. Ward
  • Patent number: 7288458
    Abstract: A wafer having an SOI configuration and active regions having different surface orientations for different channel type transistors. In one example, semiconductor structures having a first surface orientation are formed on a donor wafer. Semiconductor structures having a second surface orientation are formed on a second wafer. Receptor openings are formed on the second wafer. The semiconductor structures having the first surface orientation are located in the receptor openings and transferred to the second wafer. The resultant wafer has semiconductor regions having a first surface orientation for a first channel type of transistor and semiconductor regions having a second surface orientation for a second channel type transistor.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: October 30, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Olubunmi O. Adetutu, Robert E. Jones, Ted R. White
  • Patent number: 7259036
    Abstract: Methods and apparatus are described for irradiating one or more substrate surfaces with accelerated gas clusters including strain-inducing atoms for blanket and/or localized introduction of such atoms into semiconductor substrates, with additional, optional introduction of dopant atoms and/or C. Processes for forming semiconductor films infused into and/or deposited onto the surfaces of semiconductor and/or dielectric substrates are also described. Such films may be doped and/or strained as well.
    Type: Grant
    Filed: February 14, 2005
    Date of Patent: August 21, 2007
    Assignee: TEL Epion Inc.
    Inventors: John O. Borland, John J. Hautala, Wesley J. Skinner, Martin D. Tabat
  • Patent number: 7256111
    Abstract: Embodiments of the present invention relate to an apparatus and method of annealing substrates in a thermal anneal chamber and/or a plasma anneal chamber before electroless deposition thereover. In one embodiment, annealing in a thermal anneal chamber includes heating the substrate in a vacuum environment while providing a gas, such as noble gases, hydrogen gas, other reducing gases, nitrogen gas, other non-reactive gases, and combinations thereof. In another embodiment, annealing in a plasma chamber comprises plasma annealing the substrate in a plasma, such as a plasma from an argon gas, helium gas, hydrogen gas, and combinations thereof.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: August 14, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Sergey Lopatin, Arulkumar Shanmugasundram, Ramin Emami, Hongbin Fang
  • Patent number: 7235427
    Abstract: An embodiment of a multilayer wafer according to the invention includes a base substrate, a first layer associated with the base substrate, and a second layer on the first layer on side opposite from the base substrate in an axial direction and having a lateral edge. The first layer includes a ridge that protrudes axially and is disposed laterally adjacent the second layer measured in a direction normal to the axial direction for protecting the lateral edge. This ridge can surround portion the lateral edge in an axial cross-section for preventing edge falls. Also, the ridge can have an axial height greater than the axial thickness of the second layer. In one embodiment, the second layer includes an oxydizable semiconductor and the first layer includes an oxidized insulator.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: June 26, 2007
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Thierry Barge, Bruno Ghyselen, Toshiaki Iwamatsu, Hideki Naruoka, Junichiro Furihata, Kiyoshi Mitani
  • Patent number: 7223621
    Abstract: An array substrate for use in an X-ray sensing device and in an LCD device is fabricated using plasma gas treatment. Especially, an indium-tin-oxide (ITO) transparent conductive metallic layer is plasma-treated by N2 plasma, He plasma or Ar plasma, before forming the insulation layer on the ITO transparent conductive metallic layer. Thus, the plasma removes the impurities on a surface of the transparent conductive metallic layer and changes the lattice structure of the surface of the transparent conductive metallic layer, and thus the adhesion between the transparent conductive metallic layer and the insulation layer is improved. The defects caused by a gap or a space between the transparent conductive metallic layer and the insulation layer do not occur.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: May 29, 2007
    Assignee: LG.Philips LCD Co., Ltd
    Inventor: Dong-Hee Kim
  • Patent number: 7202124
    Abstract: A method and structure for forming semiconductor structures using tensilely strained gettering layers. The method includes forming a donor wafer comprising a tensilely strained gettering layer disposed over a substrate, and at least one material layer disposed over the tensilely strained gettering layer. Additionally, the donor wafer may possess a particle-confining region proximate the tensilely strained layer. The method also includes introducing particles into the donor wafer to a depth below the surface, and accumulating at least some particles within the tensilely strained gettering layer. Next, the method includes initiating a cleaving action so as to separate at least one of the material layers form the substrate. The tensilely strained gettering layer may accumulate particles and/or point defects and reduce the implantation dose and thermal budget required for cleaving.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: April 10, 2007
    Assignee: Massachusetts Institute of Technology
    Inventors: Eugene A. Fitzgerald, Arthur J. Pitera
  • Patent number: 7192851
    Abstract: A method for manufacturing a semiconductor laser. As a preparative step for coating an end face of a resonator with a dielectric film, a cleavage plane of a semiconductor laminated structure that is to be the end face is subjected to a plasma cleaning to prevent a conductive film, which absorbs laser light, from attaching to the cleavage plane. During the plasma cleaning, a first process gas containing argon gas and nitrogen gas is introduced into a vacuumed ECR sputtering apparatus. After the cleavage plane is exposed to the first process gas in the plasma state for a certain time period without application of a voltage, a second process gas containing argon gas and oxygen gas is introduced, and the cleavage plane is exposed to the second process gas in the plasma state while a voltage is applied to the silicon target.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: March 20, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Keiji Yamane, Tetsuo Ueda, Isao Kidoguchi, Toshiya Kawata
  • Patent number: 7189661
    Abstract: There are provided a method and an apparatus of forming an insulating layer including silicon oxynitride. The method includes performing a plasma treatment process for supplying a plasma reaction gas to a substrate to be treated after completing the annealing process. The apparatus includes a sealed processing room having gas supply and exhaust lines running thereto. A quartz inner tube and quartz inlet pipe both include holes therethrough, but in orthogonal directions to one another, to flow a reaction gas onto the wafers loaded within the sealed processing room.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: March 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Sub You, Cheol-Kyu Yang, Woong Lee, Jae-Chul Lee, Hun-Hyeoung Leam
  • Patent number: 7186597
    Abstract: A mask is formed selectively on a crystalline silicon film containing a catalyst element, and an amorphous silicon film is formed so as to cover the mask. Phosphorus is implanted into the amorphous silicon film and the portion of the crystalline silicon film which is not covered with the mask. The silicon films are then heated by rapid thermal annealing (RTA). By virtue of the existence of the amorphous silicon film, the temperature of the crystalline silicon film is increased uniformly, whereby the portion of the crystalline silicon film covered with the mask is also heated sufficiently and the catalyst element existing in this region moves to the phosphorus-implanted, amorphous portion having high gettering ability. As a result, the concentration of the catalyst element is reduced in the portion of the silicon film covered with the mask. A semiconductor device is manufactured by using this portion.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: March 6, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hisashi Ohtani
  • Patent number: 7183197
    Abstract: A method and apparatus for depositing a material layer onto a substrate is described. The method includes delivering a mixture of precursors for the material layer into a process chamber and depositing the material layer on the substrate at low temperature. The material layer can be used as an encapsulating layer for various display applications which require low temperature deposition process due to thermal instability of underlying materials used. In one aspect, the encapsulating layer includes one or more material layers (multilayer) having one or more barrier layer materials and one or more low-dielectric constant materials. The encapsulating layer thus deposited provides reduced surface roughness, improved water-barrier performance, reduce thermal stress, good step coverage, and can be applied to many substrate types and many substrate sizes. Accordingly, the encapsulating layer thus deposited provides good device lifetime for various display devices, such as OLED devices.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: February 27, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Tae Kyung Won, Sanjay Yadav
  • Patent number: 7166505
    Abstract: A method for making a semiconductor device is described. That method includes forming on a substrate a dielectric layer that has a dielectric constant that is greater than the dielectric constant of silicon dioxide. The dielectric layer is modified so that it will be compatible with a gate electrode to be formed on the dielectric layer, and then a gate electrode is formed on the dielectric layer.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: January 23, 2007
    Assignee: Intel Corporation
    Inventors: Robert Chau, Reza Arghavani, Mark Doczy
  • Patent number: 7144829
    Abstract: A first thermal treatment, which is performed at a temperature within 650–750° C. for 30–240 minutes, and thereafter a second thermal treatment, which is performed at a temperature within 900–1100° C. for 30–120 minutes, are performed as the initial thermal treatments on a semiconductor wafer composed of silicon. Further, before forming a gate insulating film, the temperature is increased to 1000° C. at a temperature increasing rate of 8° C./min in a nitrogen ambient, and a thermal treatment is performed at a temperature of 1000° C. for 30 minutes as a third thermal treatment.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: December 5, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kenji Yoneda
  • Patent number: 7067409
    Abstract: A method of manufacturing a semiconductor device contact including forming an insulating layer over a substrate and forming an agglutinating layer over the insulating layer. The agglutinating layer is then exposed to a plasma treatment. A barrier layer is formed over the plasma-treated agglutinating layer, and a conductive layer is formed over the barrier layer.
    Type: Grant
    Filed: May 10, 2004
    Date of Patent: June 27, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jian Shin Tsai, Yu Hua Chou, Tzo Hung Luo, Chi Chan Tseng, Wei Zhang, Jong Chen Yang
  • Patent number: 7029992
    Abstract: A plasma containing 5–10% oxygen and 90–95% of an inert gas strips photoresist from over a low-k dielectric material formed on or in a semiconductor device. The inert gas may be nitrogen, hydrogen, or a combination thereof, or it may include at least one of nitrogen, hydrogen, NH3, Ar, He, and CF4. The operating pressure of the plasma may range from 1 millitorr to 150 millitor. The plasma removes photoresist, the hard skin formed on photoresist during aggressive etch processes, and polymeric depositions formed during etch processes. The plasma strips photoresist at a rate sufficiently high for production use and does not appreciably attack carbon-containing low-k dielectric materials. An apparatus including a plasma tool containing a semiconductor substrate and the low oxygen-content plasma, is also provided.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: April 18, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jyu-Horng Shieh, Yi-Nien Su, Jang-Shiang Tsai, Chen-Nan Yeh, Hun-Jan Tao