Of Semiconductor Layer On Insulating Substrate Or Layer Patents (Class 438/517)
  • Patent number: 7678674
    Abstract: A method of forming implants for a memory cell includes forming an oxide-nitride-oxide (ONO) stack over a substrate and implanting first impurities in the substrate adjacent each side of the ONO stack using a first implantation energy and a first tilt angle to produce first pocket implants. The method further includes implanting second impurities in the substrate adjacent each side of the ONO stack using a second implantation energy and a second tilt angle to produce second pocket implants, where the second implantation energy is substantially larger than the first implantation energy and where the second tilt angle is substantially larger than the first tilt angle.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: March 16, 2010
    Assignee: Spansion LLC
    Inventors: Shankar Sinha, Ashot Melik-Martirosian, Ihsan Djomehri
  • Patent number: 7674658
    Abstract: A semiconductor device and a manufacturing method thereof are provided. The manufacturing method can form a structure of a thin film transistor (TFT) having a symmetric lightly doped region, and thus provide superior operation reliability and electrical performance. In addition, the manufacturing method forms gate patterns of different TFTs by the same mask process and thereby avoids the misalignment of masks so as to improve the processing yield and reduce the manufacturing cost.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 9, 2010
    Assignee: Au Optronics Corporation
    Inventors: Chen-Yueh Li, Yi-Wei Chen, Ming-Yan Chen
  • Patent number: 7671412
    Abstract: A substrate, thermal treatment assembly and method of operating the thermal treatment assembly are described for controlling the temperature of a substrate. An electrical potential is applied across two or more locations on the substrate in order to generate an electrical current through a portion of the substrate, thereby altering a temperature of the substrate. The electrical current may dissipate electrical energy in the form of thermal energy due to the intrinsic resistance of the portion of substrate to the flow of electrical current.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: March 2, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Michael A. Carcasi, Michael Philip Kincaid
  • Patent number: 7670885
    Abstract: A method of manufacturing a thin-film semiconductor device, including forming a crystallized region on a transparent insulating substrate, implanting an impurity into the crystallized region and an amorphous semiconductor layer to form a source diffusion region and a drain diffusion region in the crystallized region, subjecting the resultant structure to heat treatment, thereby not only activating the impurity implanted in the crystallized region and the amorphous semiconductor layer but also restoring crystallinity of only a portion of the amorphous semiconductor layer which is formed on the crystallized region to thereby turn the portion into a polycrystalline semiconductor layer, and subjecting the resultant surface to selective etching to thereby leave only the polycrystalline semiconductor layer and to remove the amorphous semiconductor layer formed on other regions, thereby forming, in a self-aligned manner, a stacked source diffusion layer and a stacked drain diffusion layer.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: March 2, 2010
    Assignee: Advanced LCD Technologies Development Center Co., Ltd.
    Inventor: Katsunori Mitsuhashi
  • Patent number: 7659187
    Abstract: A method of forming transistors on a wafer includes forming gates over gate insulators on a surface of the wafer and ion implanting dopant impurity atoms into the wafer to form source and drain regions aligned on opposite sides of each gate. The wafer is then annealed by pre-heating the bulk of the wafer to an elevated temperature over 350 degrees C. but below a temperature at which the dopant atoms tend to cluster. Meanwhile, an intense line beam is produced having a narrow dimension along a fast axis from an array of coherent CW lasers of a selected wavelength. This line beam is scanned across the surface of the heated wafer along the direction of the fast axis, so as to heat, up to a peak surface temperature near a melting temperature of the wafer, a moving localized region on the surface of the wafer having (a) a width corresponding to the narrow beam width and (b) an extremely shallow below-surface depth.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Philip Allan Kraus, Vijay Parihar
  • Patent number: 7635637
    Abstract: Processes used to transfer semiconductor structures from an initial substrate to a base substrate include bonding the initial substrate with a silicon dioxide layer to a doped silicon structure weakened sufficiently by hydrogen implantation for cleaving. After cleaving, a doped silicon layer remains, burying the silicon dioxide layer between the doped silicon layer and the initial substrate. Semiconductor structures are formed within/on an epitaxial layer disposed on the doped silicon layer forming an intermediate semiconductor structure. A process handle is temporarily bonded to the semiconductor structures for support. The initial substrate is thinned and removed by a mechanical thinning process followed by chemical etching using the buried silicon dioxide layer as an etch stop. The silicon dioxide layer is chemically removed from the doped silicon layer. A base substrate is formed on the doped silicon layer. The process handle is removed leaving the semiconductor structures disposed on the base substrate.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: December 22, 2009
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Qi Wang, Minhua Li, Jeffrey H. Rice
  • Patent number: 7615473
    Abstract: When an ion is introduced into a semiconductor on which a resist is formed, the ion and the resist react with each other to generate a gas (dissociated gas) and a component of the thus-generated dissociated gas is introduced into the semiconductor, which becomes a factor to deteriorate properties of the semiconductor. According to the invention, the dissociated gas to be generated from an organic film is treated. Particularly, the dissociated gas is treated before an ion introduction is performed. As a method of performing such a treatment, the ion introduction is performed by dividing ion introduction processing itself into a plurality of times. The dissociated gas is generated in a maximum quantity just after the ion introduction is started.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: November 10, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shigenori Hayakawa
  • Patent number: 7615823
    Abstract: The SOI substrate includes a supporting substrate, an insulating layer (first insulating layer), another insulating layer (second insulating layer), and a silicon layer (silicon active layer). On a surface of the supporting substrate, which is the surface on the side of the silicon layer, the first insulating layer is provided. On a surface of the silicon layer, which is the surface on the side of the supporting substrate, the second insulating layer is provided. The supporting substrate and the silicon layer are adhered to each other, so that the interface between the first and the second insulating layers constitutes an adhesion plane. The adhesion plane performs as a gettering site in the SOI substrate.
    Type: Grant
    Filed: November 6, 2006
    Date of Patent: November 10, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Noriyuki Takao
  • Patent number: 7601568
    Abstract: A MOS transistor, and a method for producing the same, is provided with a source region, a gate-region, a drain region, and a drift region in an SOI wafer. The SOI-wafer has a carrier layer, which carries an insulating intermediate layer, and whereby the insulating intermediate layer carries an active semiconductor layer, in which laterally different doping material concentrations define the source region, the drift region, and the drain region. Whereby, the active semiconductor layer, at least in a portion of the drift region, is thicker than in the source region. The MOS transistor is characterized in that the active semiconductor layer, in a vertical direction, is completely separated by the insulating intermediate layer from the carrier layer.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: October 13, 2009
    Assignee: Atmel Germany GmbH
    Inventor: Volker Dudek
  • Patent number: 7601620
    Abstract: Improved nanocoils, systems and methods for fabricating nanocoils. Embodiments enable wet etching techniques for releasing coiling arm structures and forming nanocoils. A method for fabricating nanocoils includes providing a silicon-on-insulator (SOI) wafer in which SOI wafer includes a buried oxide layer, patterning one or more devices onto a silicon device layer on top of the buried oxide layer, depositing a tensile stressed layer on the silicon device layer so that stressed layer and silicon device layer form a stressed coiling bi-layer, patterning a coiling arm structure on the stressed coiling bi-layer, depositing a metal encapsulation layer on the stressed coiling bi-layer, and releasing the coiling arm structure so that coiling arm coils to form nanocoil.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: October 13, 2009
    Assignee: Northrop Grumman Systems Corporation
    Inventors: Garrett A. Storaska, Robert S. Howell
  • Publication number: 20090250755
    Abstract: A transistor capable of adjusting a threshold value is obtained by adjusting an impurity concentration of a silicon substrate supporting an SOI layer and by controlling a thickness of a buried insulating layer formed on a surface of the silicon substrate in contact with the SOI layer.
    Type: Application
    Filed: June 21, 2007
    Publication date: October 8, 2009
    Inventors: Tadahiro Ohmi, Akinobu Teramoto, Weitao Cheng
  • Publication number: 20090253234
    Abstract: A metal-oxide semiconductor transistor includes a semiconductor substrate including a source region and a drain region adjacent a surface of the substrate and a drift region between the source region and the drain region. The drift region has an impurity concentration distribution such that a peak impurity concentration of the drift region is displaced from the surface of the substrate. The peak impurity concentration of the drift region may be provided in a retrograde region in the drift region below the surface of the substrate and separated therefrom by a predetermined distance. Related methods of fabrication are also discussed.
    Type: Application
    Filed: June 12, 2009
    Publication date: October 8, 2009
    Inventor: Mueng-ryul Lee
  • Patent number: 7592239
    Abstract: The present invention relates to a flexible single-crystal film and a method of manufacturing the same from a single-crystal wafer. That is, the present invention can manufacture a silicon-on-insulator (SOI) wafer comprising a base wafer, one or more buried insulator layers, and a single-crystal layer into a flexible single-crystal film with a desired thickness by employing various wafer thinning techniques. The method for manufacturing a flexible film comprises the steps of (i) providing a SOI wafer comprising a base wafer, one or more buried insulator layers on the base wafer, and a single-crystal layer on said one or more buried insulator layers, (ii) forming one or more protective insulator layers on said single-crystal layer, (iii) removing said base wafer, and (iv) removing one or more of the insulator layers.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: September 22, 2009
    Assignee: Industry University Cooperation Foundation-Hanyang University
    Inventors: Jong-Wan Park, Jea-Gun Park
  • Patent number: 7592209
    Abstract: A method and the resultant memory is described for forming an array of floating body memory cells and logic transistors on an SOI substrate. The floating bodies for the cells are formed over the buried oxide, the transistors in the logic section are formed in the bulk silicon.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: September 22, 2009
    Assignee: Intel Corporation
    Inventor: Peter L. D. Chang
  • Patent number: 7579654
    Abstract: Systems and methods for and products of a semiconductor-on-insulator (SOI) structure including subjecting at least one unfinished surface to a laser annealing process. Production of the SOI structure further may include subjecting an implantation surface of a donor semiconductor wafer to an ion implantation process to create an exfoliation layer in the donor semiconductor wafer; bonding the implantation surface of the exfoliation layer to an insulator substrate; separating the exfoliation layer from the donor semiconductor wafer, thereby exposing at least one cleaved surface; and subjecting the at least one cleaved surface to the laser annealing process.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: August 25, 2009
    Assignee: Corning Incorporated
    Inventors: James Gregory Couillard, Philippe Lehuede, Sophie A Vallon
  • Patent number: 7569463
    Abstract: The present invention generally describes one or more apparatuses and various methods that are used to perform an annealing process on desired regions of a substrate. In one embodiment, an amount of energy is delivered to the surface of the substrate to preferentially melt certain desired regions of the substrate to remove unwanted damage created from prior processing steps (e.g., crystal damage from implant processes), more evenly distribute dopants in various regions of the substrate, and/or activate various regions of the substrate. The preferential melting processes will allow more uniform distribution of the dopants in the melted region, due to the increased diffusion rate and solubility of the dopant atoms in the molten region of the substrate. The creation of a melted region thus allows: 1) the dopant atoms to redistribute more uniformly, 2) defects created in prior processing steps to be removed, and 3) regions that have hyper-abrupt dopant concentrations to be formed.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: August 4, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ajit Balakrishna, Paul Carey, Dean Jennings, Abhilash Mayur, Stephen Moffatt, William Schaffer, Mark Yam
  • Patent number: 7566605
    Abstract: A method for selectively relieving channel stress for n-channel transistors with recessed, epitaxial SiGe source and drain regions is described. This increases the electron mobility for the n-channel transistors without affecting the strain in p-channel transistors. The SiGe provides lower resistance when a silicide is formed.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: July 28, 2009
    Assignee: Intel Corporation
    Inventors: Lucian Shifren, Jack T. Kavalieros, Steven M. Cea, Cory E. Weber, Justin K. Brask
  • Patent number: 7556994
    Abstract: Wide bandgap semiconductor devices including normally-off VJFET integrated power switches are described. The power switches can be implemented monolithically or hybridly, and may be integrated with a control circuit built in a single-or multi-chip wide bandgap power semiconductor module. The devices can be used in high-power, temperature-tolerant and radiation-resistant electronics components. Methods of making the devices are also described.
    Type: Grant
    Filed: April 6, 2007
    Date of Patent: July 7, 2009
    Assignee: SemiSouth Laboratories, Inc.
    Inventors: Igor Sankin, Joseph N. Merrett
  • Publication number: 20090166762
    Abstract: A monitoring pattern of a semiconductor device and a method for fabricating the same, capable of increasing an area utilization rate. The monitoring pattern of a semiconductor device includes a gate electrode formed on a semiconductor substrate provided with an isolation film, a spacer formed on one sidewall of the gate electrode, an LDD region formed on the surface of the semiconductor substrate, a salicide formed over the entire surface of the semiconductor substrate in a region other than the region provided by the spacer, an interlayer dielectric film formed over the entire surface of the semiconductor substrate, contacts, each passing through the interlayer dielectric film arranged on the salicide, and a metal line arranged on the interlayer dielectric film, while being connected to the contacts.
    Type: Application
    Filed: May 14, 2008
    Publication date: July 2, 2009
    Inventor: Je-Sik Ou
  • Publication number: 20090166678
    Abstract: A semiconductor device 1 includes a substrate 2 having on a main surface thereof a central area and a peripheral area which surrounds the central area and is exposed, a semiconductor layer 4 which is formed on the main surface of the substrate 2, is made of a material harder than the substrate 2, is in the shape of a mesa, and has a steep side over the exposed peripheral area, and an insulating film 12S provided on a side surface of the semiconductor layer 4.
    Type: Application
    Filed: October 3, 2008
    Publication date: July 2, 2009
    Applicant: Sanken Electric Co., Ltd.
    Inventors: Ken SATO, Nobuo Kaneko
  • Patent number: 7553709
    Abstract: A semiconductor structure includes a metal oxide semiconductor field effect transistor that includes a body contact region that extends from body region located beneath a channel region that separates a pair of source/drain regions within the metal oxide semiconductor field effect transistor. The body contact region is recessed with respect to a surface of the channel region to avoid shorting between a body contact and the source/drain regions.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: June 30, 2009
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Zhijiong Luo
  • Patent number: 7544585
    Abstract: Provided is a strained SOI structure and a method of manufacturing the strained SOI structure. The strained SOI structure includes an insulating substrate, a SiO2 layer formed on the insulating substrate, and a strained silicon layer formed on the SiO2 layer.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: June 9, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-soo Park, Wenxu Xianyu, Takashi Noguchi
  • Patent number: 7537981
    Abstract: An isolated semiconductor device and method for producing the isolated semiconductor device in which the device includes a silicon-on-insulator (SOI) device formed on a substrate. A dielectric film is formed on the insulator and covers the SOI device. The dielectric film may be a single film or a multilayer film. The silicon layer of the SOI device may include a channel region and source/drain regions. The SOI device may further include a gate insulator disposed on the channel region of the silicon layer, a gate disposed on the gate insulator and sidewall spacers formed a side surface of the gate. The dielectric film may also be disposed on an edge portion of the silicon layer. The device structure may further include metallization lines connecting through the isolation dielectric to the gate and to the source/drain regions.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: May 26, 2009
    Assignee: Kabuhsiki Kaisha Toshiba
    Inventor: Yusuke Kohyama
  • Patent number: 7538390
    Abstract: A semiconductor device including an NMOS region and a PMOS region in the same substrate, wherein the semiconductor device includes a strained Si layer which is provided on the substrate in the NMOS region and in which the surface has a plane orientation different from that of the substrate, and a strained SiGe layer which is provided on the substrate in the PMOS region and which is composed of a stained layer having the same plane orientation as that of the surface of the substrate; and a method of manufacturing the same.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: May 26, 2009
    Assignee: Sony Corporation
    Inventors: Junli Wang, Toyotaka Kataoka, Masaki Saito
  • Patent number: 7538013
    Abstract: There is provided a field effect transistor including: a first insulating film formed on a semiconductor substrate, and including at least a metal oxide having a crystallinity and different in a lattice distance of a crystal on an interface from the semiconductor substrate; a channel region formed above the first insulating film, and different in the lattice distance from the semiconductor substrate; a source region and a drain region formed above the first insulating film on side surfaces of the channel region, respectively; a second insulating film formed right above the channel region; a gate insulating film formed on a side surface of the channel region different from the side surfaces of the channel region on which the source region and the drain regions are formed; and a gate electrode formed through the gate insulating film on at least the side surface of the channel region different from the side surfaces of the channel region on which the source region and the drain region are formed.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: May 26, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Daisuke Matsushita, Yukie Nishikawa, Hideki Satake, Noburu Fukushima
  • Patent number: 7534667
    Abstract: A structure and method for fabricating a transistor structure is provided. The method comprises the steps of: (a) providing a substrate including a semiconductor-on-insulator (“SOI”) layer separated from a bulk region of the substrate by a buried dielectric layer. (b) first implanting the SOI layer to achieve a predetermined dopant concentration at an interface of the SOI layer to the buried dielectric layer. and (c) second implanting said SOI layer to achieve predetermined dopant concentrations in a polycrystalline semiconductor gate conductor (“poly gate”) and in source and drain regions disposed adjacent to the poly gate, wherein a maximum depth of the first implanting is greater than a maximum depth of the second implanting.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: May 19, 2009
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Brian J. Greene, John J. Ellis-Monaghan
  • Patent number: 7534705
    Abstract: An impurity of one conductivity type is ionized and accelerated by electric field before being implanted into a semiconductor layer to form a high concentration impurity region near its surface. Then the semiconductor layer is irradiated with continuous wave laser light for melting and crystallization or recrystallization, through which a region where the concentration of the impurity is constant is formed in the semiconductor layer. The continuous wave laser light irradiation may bring the semiconductor layer to the crystalline phase from the amorphous phase as long as the impurity element is re-distributed. The impurity is segregated through this process to newly create a high concentration region. However, this region is removed and no problem arises.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: May 19, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akihisa Shimomura, Osamu Nakamura, Tatsuya Arao, Hidekazu Miyairi, Atsuo Isobe, Tamae Takano, Kouki Inoue
  • Patent number: 7531435
    Abstract: In consideration of an optimum combination of impurities used for the purpose of forming an extension region (13) and a pocket region (11) and further inhibiting impurity diffusion in the extension region (13) when an impurity diffusion layer (21) is formed in a semiconductor device having an nMOS structure, at least phosphorus (P) is used as an impurity in the extension region (13), at least indium (In) is used as an impurity in the pocket region (11), and additionally carbon (C) is used as a diffusion inhibiting substance. Consequently, it is possible to easily and surely realize the scaling down/high integration of elements while improving threshold voltage roll-off characteristics and current drive capability and reducing a drain leakage current especially in the semiconductor device having the nMOS structure, and particularly by making the optimum design of a semiconductor device having a CMOS structure possible, improve device performance and reduce power consumption.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: May 12, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Youichi Momiyama
  • Patent number: 7524728
    Abstract: The invention reduces display unevenness of a horizontal streak and a vertical streak of an organic EL display device to improve display quality. A silicon oxide film is deposited on a glass substrate by a plasma CVD method, and an amorphous silicon film is further deposited on the silicon oxide film by the plasma CVD method. Next, an excimer laser is irradiated to the amorphous silicon film for heating the film until the film melts and the film is crystallized to form a polysilicon film. Then, this polysilicon film is etched in a predetermined pattern. After then, a p-type impurity, for example, boron is ion-implanted in the polysilicon film. Then, a gate insulation film formed of a silicon oxide film is deposited by a CVD method, covering the polysilicon film. Next, a gate electrode is formed on the gate insulation film.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: April 28, 2009
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Mitsuoki Hishida
  • Patent number: 7510915
    Abstract: To realize TFT enabling high-speed operation by fabricating a crystalline semiconductor film in which positions and sizes of crystal grains are controlled and using the crystalline semiconductor film in a channel forming region of TFT, a film thickness is stepped by providing a stepped difference in at least one layer of a matrix insulating film among a plurality of matrix insulating films having refractive indices different from each other. By irradiating laser beam from a rear face side of a substrate (or both sides of a surface side and the rear face side of the substrate), there is formed an effective intensity distribution of laser beam with regard to a semiconductor film and there is produced a temperature gradient in correspondence with a shape of the stepped difference and a distribution of the film thickness of the matrix insulating film in the semiconductor film.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: March 31, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kenji Kasahara, Ritsuko Kawasaki, Hisashi Ohtani
  • Patent number: 7507647
    Abstract: A method of manufacturing a high voltage semiconductor device including forming a P-type region implanted with P-type impurities and an N-type region implanted with N-type impurities in a silicon substrate. The method further includes forming a silicon nitride layer pattern and a pad oxide layer pattern to expose a surface of the silicon substrate, forming a trench by etching the exposed silicon substrate using the silicon nitride layer pattern as an etch mask, forming a trench oxide layer pattern in the trench by removing the silicon nitride layer pattern and the pad oxide layer pattern, and simultaneously forming a deep P-well and a deep N-well by driving P-type impurities in the P-type region and N-type impurities in the N-type region into the silicon substrate, while forming a gate oxide layer on a silicon substrate including the trench oxide layer pattern.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: March 24, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Tae-Hong Lim
  • Patent number: 7498207
    Abstract: In this semiconductor memory device, a potential clamping region having no insulation layer formed therein is provided in an insulation layer. More specifically, the potential clamping region is formed under a body portion at a position near a first impurity region, and extends to a first semiconductor layer. A body fixing portion is formed in a boundary region between the body portion and the potential clamping region. This structure enables improvement in operation performance without increasing the layout area in the case where a DRAM cell is formed in a SOI (Silicon On Insulator) structure.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: March 3, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Masakazu Hirose, Fukashi Morishita
  • Patent number: 7488982
    Abstract: A method of manufacturing a thin film transistor (TFT) which is manufactured such that source and drain electrodes directly contact source and drain regions without contact holes.
    Type: Grant
    Filed: February 8, 2002
    Date of Patent: February 10, 2009
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Woo Young So, Kyung Jin Yoo, Sang Il Park
  • Patent number: 7488670
    Abstract: An embodiment of the invention provides a semiconductor fabrication method. The method comprises forming a strained channel region in semiconductor devices. Embodiments include forming a stressor layer over an amorphous portion of the semiconductor device at an intermediate stage of fabrication. The device is masked and strain in a portion of the stressor layer is relaxed. Recrystallizing the amorphous portion of the intermediate device transfers strain from the stressor to the substrate. At least a portion of the strain remains in the substrate through subsequent device fabrication, thereby improving performance of the completed device. In other embodiments, a tensile stressor layer is formed over a first portion of the device, and a compressive stressor layer is formed over a second portion. A tensile stressor layer forms a compressive channel in a PMOS device, and a compressive stressor forms a tensile channel in an NMOS device.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: February 10, 2009
    Assignee: Infineon Technologies AG
    Inventors: Roman Knoefler, Armin Tilke
  • Patent number: 7482252
    Abstract: A method of forming a silicon-on-insulator semiconductor device including providing a substrate, forming an insulating layer on the substrate, forming a process layer on the insulating layer, implanting ions into the process layer adjacent the insulating layer, and forming a strained silicon layer over the process layer. Implanting ions into the process layer adjacent the insulating layer reduces floating body effects of the semiconductor device, while the strained silicon layer covers surface defects form by the implanted ions in the process layer to enhance mobility of the semiconductor device.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: January 27, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David Wu, Qi Xiang, James F. Buller
  • Publication number: 20090009705
    Abstract: A method for manufacturing a semiconductor device includes: a first etching step of etching a TEOS layer from a glass substrate to partially expose a SiN layer; a second etching step, conducted separately and independently from the first etching step, of wet-etching the exposed SiN layer to partially expose the glass substrate; and a bonding step of bonding a driver portion to the exposed glass substrate.
    Type: Application
    Filed: March 9, 2006
    Publication date: January 8, 2009
    Inventor: Kazuhide Tomiyasu
  • Publication number: 20080318369
    Abstract: The present invention is directed to an SOI device with charging protection and methods of making same. In one illustrative embodiment, a device is formed on an SOI substrate including a bulk substrate, a buried insulation layer and an active layer. The device includes a transistor formed in an isolated portion of the active layer, the transistor including a gate electrode and a source region. The device further includes a first conductive bulk substrate contact extending through the active layer and the buried insulation layer, the first conductive bulk substrate contact being conductively coupled to the source region and the bulk substrate, and a second conductive bulk substrate contact extending through the active layer and the buried insulation layer, the second conductive bulk substrate being conductively coupled to the gate electrode and the bulk substrate.
    Type: Application
    Filed: August 19, 2008
    Publication date: December 25, 2008
    Inventors: DAVID D. WU, Jingrong Zhou
  • Publication number: 20080286952
    Abstract: A manufacturing method of an SOI substrate which possesses a base substrate having low heat resistance and a very thin semiconductor layer having high planarity is demonstrated. The method includes: implanting hydrogen ions into a semiconductor substrate to form an ion implantation layer; bonding the semiconductor substrate and a base substrate such as a glass substrate, placing a bonding layer therebetween; heating the substrates bonded to each other to separate the semiconductor substrate from the base substrate, leaving a thin semiconductor layer over the base substrate; irradiating the surface of the thin semiconductor layer with laser light to improve the planarity and recover the crystallinity of the thin semiconductor layer; and thinning the thin semiconductor layer. This method allows the formation of an SOI substrate which has a single-crystalline semiconductor layer with a thickness of 100 nm or less over a base substrate.
    Type: Application
    Filed: March 28, 2008
    Publication date: November 20, 2008
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hidekazu Miyairi, Akihisa Shimomura, Tatsuya Mizoi, Eiji Higa, Yoji Nagano
  • Patent number: 7442585
    Abstract: The present invention relates generally to a semiconductor device having a channel region comprising a semiconductor alloy of a first semiconductor material and a second, different material, and wherein atomic distribution of the second material in the channel region is graded along a direction that is substantially parallel to a substrate surface in which the semiconductor device is located. Specifically, the semiconductor device comprises a field effect transistor (FET) that has a SiGe channel with a laterally graded germanium content.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: October 28, 2008
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Xiangdong Chen
  • Patent number: 7442586
    Abstract: An improved semiconductor-on-insulator (SOI) substrate is provided, which has a substantially planar upper surface and comprises at least first and second patterned buried insulator layers. Specifically, the first patterned buried insulator layer has a first thickness and is located in the SOI substrate at a first depth from the substantially planar upper surface, and the second patterned buried insulator layer has a second, different thickness and is located in the SOI substrate at a second, different depth from the substantially planar upper surface. The first and second patterned buried insulator layers are separated from each other by one or more interlayer gaps, which provide body contacts for the SOI substrate. The SOI substrate of the present invention can be readily formed by a method that includes at least two independent ion implantation steps.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: October 28, 2008
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Zhijiong Luo
  • Patent number: 7442614
    Abstract: Methods of fabricating silicon on insulator devices having the body-tied-to-source are described. In an embodiment, a method of forming a transistor device comprises: providing a semiconductor topography comprising a gate conductor spaced above a semiconductor layer by a gate dielectric, dielectric sidewall spacers adjacent to sidewalls of the gate conductor, and source and drain junctions laterally spaced apart by a body region in the semiconductor layer; and implanting metallic species in a bottom region of the semiconductor layer to form a conductive implant region to electrically connect the source junction to the body region.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: October 28, 2008
    Assignee: International Business Machines Corporation
    Inventors: Thomas Dyer, Jack A. Mandelman, Keith Kwong Hon Wong, Chih-Chao Yang, Haining Sam Yang
  • Publication number: 20080261385
    Abstract: A method for forming a semiconductor device includes forming a liner over a semiconductor material including a control electrode. The method further includes forming a first spacer adjacent to the control electrode, wherein the first spacer has a first width. The method further includes implanting current electrode dopants. The method further includes removing the first spacer. The method further includes forming a second spacer adjacent the control electrode, wherein the second spacer has a second width and wherein the second width is less than the first width. The method further includes using the second spacer as a protective mask to selectively remove the liner. The method further includes forming a stressor layer overlying the control electrode and current electrode regions.
    Type: Application
    Filed: April 20, 2007
    Publication date: October 23, 2008
    Inventors: Dharmesh Jawarani, Konstantin V. Loiko, Andrew G. Nagy
  • Patent number: 7427538
    Abstract: A method and apparatus for producing a relatively thin, relatively uniform semiconductor layer which has improved carrier mobility. In an embodiment, a lattice-matched insulator layer is formed on a semiconductor substrate, and a lattice-matched semiconductor layer is formed on the insulator layer to form a relatively thin, relatively uniform semiconductor on insulator apparatus. In embodiments of the method and apparatus, energy band characteristics may be used to facilitate the extraction of the well-region minority carriers.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: September 23, 2008
    Assignee: Intel Corporation
    Inventors: Been-Yih Jin, Reza Arghavani, Robert Chau
  • Patent number: 7393724
    Abstract: Aspects of the invention provide a method, in a semiconductor device, such as a thin film transistor, a technology capable of preventing or reducing the electric field concentration at the edge section of the semiconductor film to enhance the reliability. The method of manufacturing a semiconductor device according to the invention can include a first step of forming a semiconductor film discretely on an insulation substrate, a second step of covering the semiconductor film including an edge section of the semiconductor film with a first insulation film, a third step of opening the first insulation film above the semiconductor film excluding the edge section of the semiconductor film, a fourth step of forming a second insulation film thinner than the first insulation film on the semiconductor film corresponding to at least the opening of the first insulation film, and a fifth step of forming an electrode wiring film on the second insulation film.
    Type: Grant
    Filed: April 18, 2005
    Date of Patent: July 1, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Daisuke Abe
  • Publication number: 20080153272
    Abstract: The present invention enables reducing a temperature in a manufacturing process of an SOI substrate. A hydrogen ion is implanted into a surface of a single-crystal Si substrate 10 via an oxide film 11 to form a uniform ion implantation layer 12 at a predetermined depth near a surface of the single-crystal Si Substrate 10. At this time, ion implantation is carried out under a condition that a temperature of the Si substrate 10 is maintained so as not to exceed 400° C. Subsequently, a heat treatment is performed with respect to the single-crystal Si substrate 10 at a temperature of 400° C. or below. This heat treatment is effected to weaken mechanical strength of an “implantation interface” of the ion implantation layer 12 in advance prior to a delamination step, and the heat treatment temperature is set to 400° C. or below in order to suppress occurrence of “micro cavities” and “air bubble growth”.
    Type: Application
    Filed: December 4, 2007
    Publication date: June 26, 2008
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Shoji Akiyama, Yoshihiro Kubota, Atsuo Ito, Koichi Tanaka, Makoto Kawai, Yuuji Tobisaka
  • Publication number: 20080108209
    Abstract: A method of forming transistors on a wafer includes forming gates over gate insulators on a surface of the wafer and ion implanting dopant impurity atoms into the wafer to form source and drain regions aligned on opposite sides of each gate. The wafer is then annealed by pre-heating the bulk of the wafer to an elevated temperature over 350 degrees C. but below a temperature at which the dopant atoms tend to cluster. Meanwhile, an intense line beam is produced having a narrow dimension along a fast axis from an array of coherent CW lasers of a selected wavelength. This line beam is scanned across the surface of the heated wafer along the direction of the fast axis, so as to heat, up to a peak surface temperature near a melting temperature of the wafer, a moving localized region on the surface of the wafer having (a) a width corresponding to the narrow beam width and (b) an extremely shallow below-surface depth.
    Type: Application
    Filed: April 16, 2007
    Publication date: May 8, 2008
    Inventors: PHILIP ALLAN KRAUS, Vijay Parihar
  • Patent number: 7361577
    Abstract: In a step of doping a silicon-based semiconductor film as a TFT active layer such as channel doping or the like, a protective film is formed by a CVD method as a pretreatment so as to prevent the silicon-based semiconductor film from being contaminated and etched. However, in the case of using the protective film formed by the CVD method, the problems in terms of throughput and production cost (an expensive apparatus is required) have been pointed out. The present invention is intended to solve the above-mentioned problems. Instead of the CVD method, a step of forming a chemical oxide film on a silicon-based semiconductor film is introduced as the pretreatment in the step of doping the silicon-based semiconductor film. Alternatively, a step is introduced in which unsaturated bonds present at the surface of the silicon-based semiconductor film are made to terminate with an element (for instance, oxygen) to be bonded with bonding energy higher than that of Si—H bonds.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: April 22, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hideto Ohnuma
  • Patent number: 7358511
    Abstract: A plasma doping method, even though a plasma doping treatment is repeated, can make a dose from a film to a silicon substrate uniform for each time. The method includes preparing a vacuum chamber having a film containing an impurity formed on an inner wall thereof such that, when the film is attacked by ions in plasma, the amount of an impurity to be doped into the surface of a sample by sputtering is not changed even though the plasma containing the impurity ions is repeatedly generated in the vacuum chamber; placing the sample on the sample electrode; and irradiating the plasma containing the impurity ions so as to implant the impurity ions into the sample, and doping the impurity into the sample by sputtering from the film containing the impurity fixed to the inner wall of the vacuum chamber.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: April 15, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Hiroyuki Ito, Bunji Mizuno, Tomohiro Okumura
  • Patent number: 7358131
    Abstract: The invention includes SRAM constructions comprising at least one transistor device having an active region extending into a crystalline layer comprising Si/Ge. A majority of the active region within the crystalline layer is within a single crystal of the crystalline layer, and in particular aspects an entirety of the active region within the crystalline layer is within a single crystal of the crystalline layer. The SRAM constructions can be formed in semiconductor on insulator assemblies, and such assemblies can be supported by a diverse range of substrates, including, for example, glass, semiconductor substrates, metal, insulative materials, and plastics. The invention also includes electronic systems comprising SRAM constructions.
    Type: Grant
    Filed: February 8, 2006
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7338865
    Abstract: The present invention provides a method of manufacturing a semiconductor device. The semiconductor device (100), among other possible elements, includes a first transistor (120) located over a semiconductor substrate (110), wherein the first transistor (120) has a gate electrode (135) that includes a metal silicide layer 135a over which is located a silicon gate layer (135b) together which have a work function associated therewith, and a second transistor (125) located over the semiconductor substrate (110) and proximate the first transistor (120), wherein the second transistor (125) also includes a gate electrode (160) that includes a metal silicide layer (160a) over which is located a silicon gate layer (160b) together which have a different work function from that of the first gate electrode (135) associated therewith.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: March 4, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Robert W. Murto, Luigi Colombo, Mark R. Visokay