Using Same Conductivity-type Dopant Patents (Class 438/529)
  • Publication number: 20100261319
    Abstract: A method for generating n-type carriers in a semiconductor is disclosed. The method includes supplying a semiconductor having an atomic radius. Implanting an n-type dopant species into the semiconductor, which n-type dopant species has a dopant atomic radius. Implanting a compensating species into the semiconductor, which compensating species has a compensating atomic radius. Selecting the n-type dopant species and the compensating species in such manner that the size of the semiconductor atomic radius is inbetween the dopant atomic radius and the compensating atomic radius. A further method is disclosed for generating n-type carriers in germanium (Ge). The method includes setting a target concentration for the carriers, implanting a dose of an n-type dopant species into the Ge, and selecting the dose to correspond to a fraction of the target carrier concentration. Thermal annealing the Ge in such manner as to activate the n-type dopant species and to repair a least a portion of the implantation damage.
    Type: Application
    Filed: April 8, 2009
    Publication date: October 14, 2010
    Applicant: International Business Machines Corporation
    Inventors: Jee Hwan Kim, Stephen W. Bedell, Siegfried Maurer, Devendra K. Sadana
  • Patent number: 7790586
    Abstract: An impurity region is formed in a surface of a substrate by exposing the substrate to a plasma generated from a gas containing an impurity in a vacuum chamber. In this process, a plasma doping condition is set with respect to a dose of the impurity to be introduced into the substrate so that a first one of doses in a central portion and in a peripheral portion of the substrate is greater than a second one of the doses during an initial period of doping, with the second dose becoming greater than the first dose thereafter.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: September 7, 2010
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Hiroyuki Ito, Bunji Mizuno
  • Patent number: 7781289
    Abstract: A non-volatile memory cell includes a program transistor and a control capacitor. A portion of a substrate associated with the program transistor is exposed to multiple implantations (such as DNW, HiNWell, HiPWell, and P-well implantations). Similarly, a portion of the substrate associated with the control capacitor is exposed to multiple implantations (such as DNW, HiNWell, HiPWell, P-well, and N-well implantations). These portions of the substrate may have faster oxidation rates than other portions of the substrate, allowing a thicker front-end gate oxide to be formed over these portions of the substrate. In addition, a rapid thermal process anneal can be performed, which may reduce defects in the front-end gate oxide and increase its quality without having much impact on the oxide over the other portions of the substrate.
    Type: Grant
    Filed: May 3, 2007
    Date of Patent: August 24, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Thanas Budri, Jiankang Bu
  • Patent number: 7776726
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. A preferred embodiment includes providing a workpiece having a first orientation and at least one second orientation. The semiconductor device is implanted with a dopant species using a first implantation process in the first orientation of the workpiece. The semiconductor device is implanted with the dopant species using a second implantation process in the at least one second orientation of the workpiece, wherein the second implantation process is different than the first implantation process.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: August 17, 2010
    Assignee: Infineon Technologies AG
    Inventors: Thomas Schiml, Manfred Eller
  • Patent number: 7759208
    Abstract: Embodiments of the present invention provide a method that cools a substrate to a temperature below 10° C. and then implants ions into the substrate while the temperature of the substrate is below 10° C. The implanting causes damage to a first depth of the substrate to create an amorphized region in the substrate. The method forms a layer of metal on the substrate and heats the substrate until the metal reacts with the substrate and forms a silicide region within the amorphized region of the substrate. The depth of the silicide region is at least as deep as the first depth.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Asa Frye, Christian Lavoie, Ahmet S. Ozcan, Donald R. Wall
  • Patent number: 7749798
    Abstract: An image sensing circuit and method is disclosed, wherein a photodiode is formed in a substrate through a series of angled implants. The photodiode is formed by a first, second and third implant, wherein at least one of the implants are angled so as to allow the resulting photodiode to extend out beneath an adjoining gate. Under an alternate embodiment, a fourth implant is added, under an increased implant angle, in the region of the second implant. The resulting photodiode structure substantially reduces or eliminates transfer gate subthreshold leakage.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: July 6, 2010
    Assignee: Aptina Imaging Corporation
    Inventors: Howard E. Rhodes, Richard A. Mauritzson, Inna Patrick
  • Patent number: 7749874
    Abstract: A CMOS image sensor includes a pinned photodiode and a transfer gate that are formed using a thick mask that is self-aligned to at least one edge of the polysilicon gate structure to facilitate both the formation of a deep implant and to provide proper alignment between the photodiode implant and the gate. In one embodiment a drain side implant is formed concurrently with the deep n-type implant of the photodiode. After the deep implant, the mask is removed and a shallow p+ implant is formed to complete the photodiode. In another embodiment, the polysilicon is etched to define only a drain side edge, a shallow drain side implant is performed, and then a thick mask is provided and used to complete the gate structure, and is retained during the subsequent high energy implant. Alternatively, the high energy implant is performed prior to the shallow drain side implant.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: July 6, 2010
    Assignee: Tower Semiconductor Ltd.
    Inventors: Clifford I. Drowley, David Cohen, Assaf Lahav, Shai Kfir, Naor Inbar, Anatoly Sergienko, Vladimir Korobov
  • Patent number: 7704822
    Abstract: Embodiments relate to a semiconductor device. According to embodiments, a semiconductor device may include a plurality of wells formed on a substrate, threshold voltage control ion layers formed around surfaces of the wells, device isolation layers arranged between the wells, ion compensation layers formed on edges and bottoms of the device isolation layers, and a gate formed on the well.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: April 27, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hyeong Gyun Jeong
  • Patent number: 7700468
    Abstract: Provided are a semiconductor device and a method of fabricating the semiconductor device. In the method, a field oxide layer can be formed in a semiconductor substrate so as to define and active electrode including a gate oxide layer and a gate poly is formed in the active region. An etch groove is formed between the gate electrode and the field oxide layer. Dopant ions are implanted between the gate electrode and the field oxide layer so as to form a source/drain region.
    Type: Grant
    Filed: August 7, 2007
    Date of Patent: April 20, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Ji Houn Jung
  • Patent number: 7696053
    Abstract: Embodiments relate to a semiconductor device that may include a gate stack formed on an upper portion of an active region in a semiconductor substrate, the gate stack including a gate insulating layer and a gate, a first shallow impurity region formed on both sides of the gate in the semiconductor substrate, a gate spacer layer formed on one side of the gate stack, and a second deep impurity region formed in the semiconductor substrate by using the gate spacer layer as a mask, in which the gate is formed by implanting p-type ions.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: April 13, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Tae Woo Kim
  • Patent number: 7678674
    Abstract: A method of forming implants for a memory cell includes forming an oxide-nitride-oxide (ONO) stack over a substrate and implanting first impurities in the substrate adjacent each side of the ONO stack using a first implantation energy and a first tilt angle to produce first pocket implants. The method further includes implanting second impurities in the substrate adjacent each side of the ONO stack using a second implantation energy and a second tilt angle to produce second pocket implants, where the second implantation energy is substantially larger than the first implantation energy and where the second tilt angle is substantially larger than the first tilt angle.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: March 16, 2010
    Assignee: Spansion LLC
    Inventors: Shankar Sinha, Ashot Melik-Martirosian, Ihsan Djomehri
  • Publication number: 20100044825
    Abstract: In a semiconductor body, a semiconductor device has an active region with a vertical drift section of a first conduction type and a near-surface lateral well of a second, complementary conduction type. An edge region surrounding this active region comprises a variably laterally doped doping material zone (VLD zone). This VLD zone likewise has the second, complementary conduction type and adjoins the well. The concentration of doping material of the VLD zone decreases to the concentration of doping material of the drift section along the VLD zone towards a semiconductor chip edge. Between the lateral well and the VLD zone, a transitional region is provided which contains at least one zone of complementary doping located at a vertically lower point than the well in the semiconductor body.
    Type: Application
    Filed: August 19, 2008
    Publication date: February 25, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventor: Gerhard Schmidt
  • Patent number: 7662690
    Abstract: Multiple blanket implantations of one or more p type dopants into a semiconductor substrate are performed to facilitate isolation between nwell regions subsequently formed in the substrate. The blanket implantations are performed through isolation regions in the substrate so that the p type dopants are implanted to depths sufficient to separate the nwell regions. This increased concentration of p type dopants helps to mitigate leakage between the nwell regions as the nwell regions are brought closer together to increase packing densities.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: February 16, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Shaoping Tang, Zhiqiang Wu
  • Publication number: 20100032685
    Abstract: An electronic device includes a drift layer having a first conductivity type, a buffer layer having a second conductivity type, opposite the first conductivity type, on the drift layer and forming a P—N junction with the drift layer, and a junction termination extension region having the second conductivity type in the drift layer adjacent the P—N junction. The buffer layer includes a step portion that extends over a buried portion of the junction termination extension. Related methods are also disclosed.
    Type: Application
    Filed: August 11, 2008
    Publication date: February 11, 2010
    Inventors: Qingchun Zhang, Anant K. Agarwal
  • Patent number: 7659188
    Abstract: The present invention provides a method for manufacturing a semiconductor device which includes a step of forming one optional impurity region in a semiconductor substrate at a place apart from the surface thereof, and in the method described above, ion implantation is performed a plurality of times while the position of an end portion of a mask pattern used for ion implantation is changed.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: February 9, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Takuji Tanaka
  • Patent number: 7625774
    Abstract: Embodiments relate to a method of manufacturing a CMOS image sensor in which, when a buried photodiode is formed, a p-type impurity region may be formed simultaneously with a p-type LDD region in the photo diode region. Additionally, a p-type impurity region may be formed under side wall spacers, which may reduce leakage current of the photodiode.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: December 1, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Sang Gi Lee
  • Publication number: 20090291548
    Abstract: A method for preparing a P-type polysilicon gate structure comprises the steps of forming a gate oxide layer on a substrate, forming an N-type polysilicon layer on the gate oxide layer, performing a first implanting process to convert the N-type polysilicon layer into a P-type polysilicon layer, performing a second implanting process to implant P-type dopants into a portion of the P-type polysilicon layer near the interface between the gate oxide layer and the P-type polysilicon layer, and performing a thermal treating process at a predetermined temperature for a predetermined period to complete the P-type polysilicon gate structure.
    Type: Application
    Filed: May 20, 2008
    Publication date: November 26, 2009
    Applicant: PROMOS TECHNOLOGIES INC.
    Inventors: YUAN MING CHANG, CHENG DA WU, DA YU CHUANG, YEN TA CHEN
  • Patent number: 7598162
    Abstract: It is an object to provide a method of manufacturing a semiconductor device capable of forming a MOS transistor of high performance, comprising the steps of forming a gate electrode on a semiconductor substrate via a gate-insulating film (step S1), introducing a impurity into the semiconductor substrate using the gate electrode as a mask (step S7), introducing a diffusion-controlling substance into the semiconductor substrate to control the diffusion of the impurity (step S8), forming a side wall-insulating film on each side surface of the gate electrode (step S9), deeply introducing impurity into the semiconductor substrate using the gate electrode and the side wall-insulating film as masks (step S10), activating the impurity by the annealing treatment using a rapid thermal annealing method (step S11), and further activating the impurity by the millisecond annealing treatment (step S12).
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: October 6, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Tomonari Yamamoto, Tomohiro Kubo
  • Patent number: 7589366
    Abstract: A solid-state imaging device includes a semiconductor substrate (1) with a photodetector portion (15). The photodetector portion (15) includes a p-type first impurity region (surface inversion layer) (6) formed in the semiconductor substrate (1) and an n-type second impurity region (photoelectric conversion region) (4) formed below the surface inversion layer (6). The photoelectric conversion region (4) is formed by introducing an n-type impurity into the semiconductor substrate (1). The surface inversion layer (6) is formed by introducing indium into a region of the semiconductor substrate (1) where the photoelectric conversion region (4) is formed.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: September 15, 2009
    Assignee: Panasonic Corporation
    Inventor: Masakatsu Suzuki
  • Patent number: 7585737
    Abstract: A method of manufacturing double diffused drains in a semiconductor device. An embodiment comprises forming a gate dielectric layer on a substrate, and masking and patterning the gate dielectric layer. Once the gate dielectric layer has been patterned, a second dielectric layer, having a different depth than the gate dielectric layer, is deposited into the pattern. Once the dielectric layers have been placed into a step form, DDDs are formed by implanting ions through the two dielectric layers, whose different filtering properties form the DDDS. In another embodiment the implantations through the two dielectric layers are performed using different energies to form the different dose regions. In yet another embodiment the implantations are performed using different species (light and heavy), instead of different energies, to form the different dose regions.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: September 8, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Lin Chen, Shao-Yen Ku
  • Patent number: 7550355
    Abstract: A boron ion stream may be used to implant ions, such as boron ions, into the sidewalls of an active area, such as an NFET active area. The boron ion stream has both vertical tilt and horizontal rotation components relative to the sidewalls and/or the silicon device, to provide a better line of sight onto the sidewalls. This may allow components of the silicon device to be moved closer together without unduly reducing the effectiveness of boron doping of NFET active area sidewalls, and provides an improved line of sight of a boron ion stream onto the sidewalls of an NFET active area prior to filling the surrounding trench with STI material.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: June 23, 2009
    Assignee: Toshiba America Electronic Components, Inc.
    Inventor: Yusuke Kohyama
  • Patent number: 7541247
    Abstract: A semiconductor structure and a method for forming the same. The method includes providing a semiconductor structure. The semiconductor structure includes a semiconductor substrate. The method further includes simultaneously forming a first doped transistor region of a first transistor and a first doped guard-ring region of a guard ring on the semiconductor substrate. The first doped transistor region and the first doped guard-ring region comprise dopants of a first doping polarity. The method further includes simultaneously forming a second doped transistor region of the first transistor and a second doped guard-ring region of the guard ring on the semiconductor substrate. The second doped transistor region and the second doped guard-ring region comprise dopants of the first doping polarity. The second doped guard-ring region is in direct physical contact with the first doped guard-ring region. The guard ring forms a closed loop around the first and second doped transistor regions.
    Type: Grant
    Filed: July 16, 2007
    Date of Patent: June 2, 2009
    Assignee: International Business Machines Corporation
    Inventor: Steven Howard Voldman
  • Patent number: 7491586
    Abstract: A method of fabricating a thyristor-based memory may include forming different opposite conductivity-type regions in silicon for defining a thyristor and an access device in series relationship. An activation anneal may activate dopants previously implanted for the different regions. A damaging implant of germanium or xenon or argon may be directed into select regions of the silicon including at least one p-n junction region for the access device and the thyristor. A re-crystallization anneal may then be performed to re-crystallize at least some of the damaged lattice structure resulting from the damaging implant. The re-crystallization anneal may use a temperature less than that of the previous activation anneal.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: February 17, 2009
    Assignee: T-RAM Semiconductor, Inc.
    Inventors: Andrew E Horch, Hyun-Jin Cho, Farid Nemati, Scott Robins, Rajesh N. Gupta, Kevin J. Yang
  • Patent number: 7485536
    Abstract: A method including forming a channel region between source and drain regions in a substrate, the channel region including a first dopant profile; and forming a barrier layer between the channel region and a well of the substrate, the barrier layer including a second dopant profile different from the first dopant profile. An apparatus including a gate electrode on a substrate; source and drain regions formed in the substrate and separated by a channel region; and a barrier layer between a well of the substrate and the channel region, the barrier layer including a dopant profile different than a dopant profile of the channel region and different than a dopant profile of the well.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: February 3, 2009
    Assignee: Intel Corporation
    Inventors: Been-Yih Jin, Brian S. Doyle, Robert S. Chau, Jack T. Kavalieros
  • Patent number: 7473606
    Abstract: A method for fabricating a metal-oxide semiconductor transistor is disclosed. First, a semiconductor substrate having a gate structure thereon is provided, and a spacer is formed around the gate structure. An ion implantation process is performed to implant a molecular cluster containing boron into the semiconductor substrate surrounding the spacer for forming a source/drain region. The weight ratio of each boron atom within the molecular cluster is preferably less than 10%. Thereafter, a millisecond annealing process is performed to activate the molecular cluster within the source/drain region.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: January 6, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Tsai-Fu Hsiao, Ching-I Li, Po-Yuan Chen, Chun-An Lin, Hsiang-Ying Wang, Chao-Chun Chen, Chin-Cheng Chien
  • Publication number: 20080261386
    Abstract: A third channel region into which a high concentration N-type impurity is implanted, a fourth channel region into which a low concentration N-type impurity is implanted, a first channel region into which a high concentration P-type impurity is implanted, and a second channel region into which a low concentration P-type impurity is implanted are formed in predetermined regions on a wafer. A first region where the second channel region and the third channel region are formed, a second region where the second channel region and the forth channel region are formed, a third region where the first channel region and the third channel region are formed, and a fourth region where the first channel region and the forth channel region are formed are thereby formed.
    Type: Application
    Filed: April 15, 2008
    Publication date: October 23, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Tomohiro Kamimura, Kou Sasaki, Tomoharu Inoue
  • Patent number: 7432121
    Abstract: A barrier implanted region of a first conductivity type formed in lieu of an isolation region of a pixel sensor cell that provides physical and electrical isolation of photosensitive elements of adjacent pixel sensor cells of a CMOS imager. The barrier implanted region comprises a first region having a first width and a second region having a second width greater than the first width, the second region being located below the first region. The first region is laterally spaced from doped regions of a second conductivity type of adjacent photodiodes of pixel sensor cells of a CMOS imager.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: October 7, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Frederick Brady, Inna Patrick
  • Publication number: 20080132023
    Abstract: A semiconductor process is provided. The semiconductor process includes providing a substrate. Then, a surface treatment is performed to the substrate to form a buffer layer on the substrate. Next, a first pre-amorphous implantation is performed to the substrate.
    Type: Application
    Filed: November 30, 2006
    Publication date: June 5, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Yi-Wei Chen, Chao-Ching Hsieh, Tsai-Fu Hsiao, Yu-Lan Chang, Tsung-Yu Hung, Chun-Chieh Chang
  • Patent number: 7358167
    Abstract: A semiconductor device is formed by performing an amorphizing ion implantation to implant dopants of a first conductivity type into a semiconductor body. The first ion implantation causes a defect area (e.g., end-of-range defects) within the semiconductor body at a depth. A non-amorphizing implantation implants dopants of the same conductivity type into the semiconductor body. This ion implantation step implants dopants throughout the defect area. The dopants can then be activated by heating the semiconductor body for less than 10 ms, e.g., using a flash anneal or a laser anneal.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: April 15, 2008
    Assignee: Infineon Technologies AG
    Inventor: Matthias Hierlemann
  • Patent number: 7297579
    Abstract: The objectives of the present invention are achieving TFTs having a small off current and TFT structures optimal for the driving conditions of a pixel portion and driver circuits, and providing a technique of making the differently structured TFTs without increasing the number of manufacturing steps and the production costs. A semiconductor device has a semiconductor layer, a gate insulating film on the semiconductor layer, and a gate electrode on the gate insulating film. The semiconductor layer contains a channel forming region, a region containing a first concentration impurity element, a region containing a second concentration impurity element, and a region containing a third concentration impurity element. The gate electrode is formed by laminating an electrode (A) and an electrode (B).
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: November 20, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ritsuko Nagao, Masahiko Hayakawa
  • Patent number: 7294551
    Abstract: A semiconductor device has a gate electrode formed on a P type semiconductor substrate via gate oxide films. A first low concentration (LN type) drain region is made adjacent to one end of the gate electrode. A second low concentration (SLN type) drain region is formed in the first low concentration drain region so that the second low concentration drain region is very close to the outer boundary of the second low concentration drain region and has at least a higher impurity concentration than the first low concentration drain region. A high concentration (N+ type) source region is formed adjacent to the other end of said gate electrode, and a high concentration (N+ type) drain region is formed in the second low concentration drain region having the designated space from one end of the gate electrode.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: November 13, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Shuichi Kikuchi, Eiji Nishibe
  • Patent number: 7291525
    Abstract: A system and method is disclosed for manufacturing thin film resistors using a trench and chemical mechanical polishing. A trench is etched in a layer of dielectric material and a thin film resistor layer is deposited so that the thin film resistor layer lines the trench. A thin film resistor protection layer is then deposited to fill the trench. Then a chemical mechanical polishing process removes excess portions of the thin film resistor layer and the thin film resistor protection layer. An interconnect metal is then deposited and patterned to create an opening over the trench. A central portion of the thin film resistor protection material is removed down to the thin film resistor layer at the bottom of the trench. The resulting structure is immune to the effects of topography on the critical dimensions (CDs) of the thin film resistor.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: November 6, 2007
    Assignee: National Semiconductor Corporation
    Inventor: Rodney Hill
  • Patent number: 7268065
    Abstract: A method of manufacturing a microelectronic device including forming an opening in a dielectric layer located over a substrate, forming a semi-conductive layer substantially conforming to the opening, and forming a conductive layer substantially conforming to the semi-conductive layer. At least a portion of the semi-conductive layer is doped by implanting through the conductive layer. The semi-conductive layer and the conductive layer may then be annealed.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: September 11, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Tung Lin, Chih-Wei Chang, Chii-Ming Wu, Mei-Yun Wang, Chiang-Ming Chuang, Shau-Lin Shue
  • Patent number: 7259072
    Abstract: A method is described to fabricate a MOSFET device with increased threshold voltage stability. After the pad oxide and pad nitride are deposited on the silicon substrate and shallow trenches are patterned and the pad nitride removed. As+ or P+ species are then implanted using low energy ions of approximately 5 keV into the pad oxide. Conventional As+ or P+ implant follows the shallow implant to form the n-wells. With this procedure of forming a sacrificial shallow implantation oxide layer, surface dopant concentration variation at pad oxide:silicon substrate interface is minimized; and threshold voltage stability variation of the device is significantly decreased.
    Type: Grant
    Filed: April 21, 2004
    Date of Patent: August 21, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yisuo Li, Francis Benistant, Kim Hyun Sik, Zhao Lun
  • Patent number: 7238600
    Abstract: For forming a gate electrode, a conductive film with low resistance including Al or a material containing Al as its main component and a conductive film with low contact resistance for preventing diffusion of Al into a semiconductor layer are laminated, and the gate electrode is fabricated by using an apparatus which is capable of performing etching treatment at high speed.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: July 3, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideomi Suzawa, Koji Ono, Yoshihiro Kusuyama
  • Patent number: 7214592
    Abstract: Methods of forming semiconductor devices with a layered structure of thin and well defined layer of activated dopants, are disclosed. In a preferred method, a region in a semiconductor substrate is amorphized, after which the region is implanted with a first dopant at a first doping concentration. Then a solid phase epitaxy regrowth step is performed on a thin layer of desired thickness of the amorphized region, in order to activate the first dopant only in this thin layer. Subsequently, a second dopant is implanted in the remaining amorphous region at a second doping concentration. Subsequent annealing of the substrate activates the second dopant only in said remaining region, so a very abrupt transition between dopant characteristics of the thin layer with first dopant and the region with the second dopant is obtained.
    Type: Grant
    Filed: October 15, 2004
    Date of Patent: May 8, 2007
    Assignees: Interuniversitair Microelektronica Centrum (IMEC), Koninklijke Philips Electronics N.V.
    Inventor: Radu Catalin Surdeanu
  • Patent number: 7195995
    Abstract: A method of manufacturing a memory device addressing reliability and refresh characteristics through the use of a multilayered doped conductor, and a method making is described. The multilayered doped conductor creates a high dopant concentration in the active area close to the channel region. The rich dopant layer created by the multilayered doped conductor is less susceptible to depletion from trapped charges in the oxide. This improves device reliability at burn-in and lowers junction leakage, thereby providing a longer period between refresh cycles.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: March 27, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Chandra V. Mouli
  • Patent number: 7192823
    Abstract: A manufacturing method for a transistor of an ESD protection device. First, the method forms basic elements on a semiconductor base. Next, a patterned resist layer is used as a mask to perform ion implantation in the emerged drain region so that the dopant can be implanted into the semiconductor base under the drain region to form an extended drain heavy-doped region. Then, the patterned resist layer is removed and a heat tempering processing is performed. Finally, a self-aligned salicide is formed on the surfaces of the polysilicon gate and the heavy-ion doped region. The invention utilizes an extended drain heavy-doped region as a resistance ballast between the drain contact and the polysilicon contact surface, which allows high current generated by ESD to be discharged in a more homogeneous way so as to prevent the ESD structure from being damaged.
    Type: Grant
    Filed: August 16, 2004
    Date of Patent: March 20, 2007
    Assignee: Grace Semiconductor Manufacturing Corporation
    Inventor: Jung-Cheng Kao
  • Patent number: 7189623
    Abstract: A method of forming a transistor gate includes forming a gate oxide layer over a semiconductive substrate. Chlorine is provided within the gate oxide layer. A gate is formed proximate the gate oxide layer. In another method, a gate and a gate oxide layer are formed in overlapping relation, with the gate having opposing edges and a center therebetween. At least one of chlorine or fluorine is concentrated in the gate oxide layer within the overlap more proximate at least one of the gate edges than the center. Preferably, the central region is substantially undoped with fluorine and chlorine. The chlorine and/or fluorine can be provided by forming sidewall spacers proximate the opposing lateral edges of the gate, with the sidewall spacers comprising at least one of chlorine or fluorine. The spacers are annealed at a temperature and for a time effective to diffuse the fluorine or chlorine into the gate oxide layer to beneath the gate.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: March 13, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Akram Ditali
  • Patent number: 7179714
    Abstract: There is provided a method of fabricating a MOS transistor having a fully silicided gate, including forming a gate pattern and gate spacers on a semiconductor substrate, the gate pattern including a lower gate pattern, an insulating layer pattern, and an upper gate pattern, which are sequentially stacked. Source/drain regions are formed by implanting impurity ions into an active region using the gate pattern and the gate spacers as ion implantation masks. Then, a protecting layer is formed on the semiconductor substrate having the gate pattern, and the protecting layer is planarized until the upper gate pattern is exposed. Then, by removing the exposed upper gate pattern and the insulating layer pattern, the lower gate pattern is exposed. Then, the protecting layer is selectively removed, thereby exposing the source/drain regions. The exposed lower gate pattern is fully converted to a gate silicide layer, and a silicide layer is concurrently formed on the surfaces of the source/drain regions.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: You-Jean Chang, Myoung-Hwan Oh, Hee-Sung Kang, Choong-Ryul Ryou
  • Patent number: 7172933
    Abstract: A method of forming a channel region for a MOSFET device in a strained silicon layer via employment of adjacent and surrounding silicon-germanium shapes, has been developed. The method features simultaneous formation of recesses in a top portion of a conductive gate structure and in portions of the semiconductor substrate not occupied by the gate structure or by dummy spacers located on the sides of the conductive gate structure. The selectively defined recesses will be used to subsequently accommodate silicon-germanium shapes, with the silicon-germanium shapes located in the recesses in the semiconductor substrate inducing the desired strained channel region. The recessing of the conductive gate structure and of semiconductor substrate portions reduces the risk of silicon-germanium bridging across the surface of sidewall spacers during epitaxial growth of the alloy layer, thus reducing the risk of gate to substrate leakage or shorts.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: February 6, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Chun Huang, Bow-Wen Chan, Baw-Ching Perng, Lawrence Sheu, Hun-Jan Tao, Chih-Hsin Ko, Chun-Chieh Lin
  • Patent number: 7172954
    Abstract: A semiconductor device is formed by performing an amorphizing ion implantation to implant dopants of a first conductivity type into a semiconductor body. The first ion implantation causes a defect area (e.g., end-of-range defects) within the semiconductor body at a depth. A non-amorphizing implantation implants dopants of the same conductivity type into the semiconductor body. This ion implantation step implants dopants throughout the defect area. The dopants can then be activated by heating the semiconductor body for less than 10 ms, e.g., using a flash anneal or a laser anneal.
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: February 6, 2007
    Assignee: Infineon Technologies AG
    Inventor: Matthias Hierlemann
  • Patent number: 7166506
    Abstract: A method of fabricating microelectronic structure using at least two material removal steps, such as for in a poly open polish process, is disclosed. In one embodiment, the first removal step may be chemical mechanical polishing (CMP) step utilizing a slurry with high selectivity to an interlevel dielectric layer used relative to an etch stop layer abutting a transistor gate. This allows the first CMP step to stop after contacting the etch stop layer, which results in substantially uniform “within die”, “within wafer”, and “wafer to wafer” topography. The removal step may expose a temporary component, such as a polysilicon gate within the transistor gate structure. Once the polysilicon gate is exposed other processes may be employed to produce a transistor gate having desired properties.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: January 23, 2007
    Assignee: Intel Corporation
    Inventors: Matthew J. Prince, Francis M. Tambwe, Chris E. Barns
  • Patent number: 7151015
    Abstract: There has been a problem that the manufacturing process is complicated and the number of processes is increased when a TFT with an LDD structure or a TFT with a GOLD structure is formed. In a method of manufacturing a semiconductor device, after low concentration impurity regions (24, 25) are formed in a second doping process, a width of the low concentration impurity region which is overlapped with the third electrode (18c) and a width of the low concentration impurity region which is not overlapped with the third electrode can be freely controlled by a fourth etching process. Thus, in a region overlapped with the third electrode, a relaxation of electric field concentration is achieved and then a hot carrier injection can be prevented. And, in the region which is not overlapped with the third electrode, the off-current value can be suppressed.
    Type: Grant
    Filed: May 11, 2001
    Date of Patent: December 19, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideomi Suzawa, Koji Ono, Toru Takayama, Tatsuya Arao, Shunpei Yamazaki
  • Patent number: 7078325
    Abstract: A process is described which allows a buried, retrograde doping profile or a delta doping to be produced in a relatively simple and inexpensive way. The process uses individual process steps that are already used in the mass production of integrated circuits and accordingly can be configured for a high throughput.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: July 18, 2006
    Assignee: Infineon Technologies AG
    Inventors: Giuseppe Curello, Jürgen Faul
  • Patent number: 7067365
    Abstract: An improved high-voltage process is disclosed. In order to improve the performance in terms of breakdown voltage and to maintain the integrity of the STI structures, the thick gate oxide layer of the high-voltage device area is not etched back before a high-dosage ion doping process. One photo mask is therefore omitted.
    Type: Grant
    Filed: May 26, 2005
    Date of Patent: June 27, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Fang Lee, Wei-Lun Hsu, Yu-Hsien Lin
  • Patent number: 7030464
    Abstract: A technology of restraining junction leakage in a semiconductor device is to be provided. There is provided a semiconductor device provided with a semiconductor substrate, a gate electrode 9 formed on the semiconductor substrate, and a source/drain region formed beside the gate electrode, wherein the source/drain region 4 comprises a first impurity diffusion region including a first P-type impurity and located in the proximity of a surface of the semiconductor substrate, and a second P-type impurity diffusion region located below the first impurity diffusion region and including a second P-type impurity having a smaller diffusion coefficient in the semiconductor substrate than the first P-type impurity.
    Type: Grant
    Filed: March 3, 2004
    Date of Patent: April 18, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Yuri Masuoka, Naohiko Kimizuka
  • Patent number: 7022577
    Abstract: The present invention relates to a method of fabricating a semiconductor device. In specific embodiments, the method comprises providing a semiconductor substrate, and ion implanting dopant impurities over a time period into the semiconductor device by varying an ion energy of implanting the dopant impurities over the time period. The dopant impurities are activation annealed to form one or more doped regions extending below the surface of the semiconductor substrate. The ion energy may be varied continuously or in a stepwise manner over the time period, and may also be varied in a cyclical manner.
    Type: Grant
    Filed: June 8, 2004
    Date of Patent: April 4, 2006
    Assignee: Silterra Malaysia Sdn. Bhd.
    Inventor: Narayanan Meyyappan
  • Patent number: 7005334
    Abstract: A zero threshold voltage (ZVt) pFET (104) and a method of making the same. The ZVt pFET is made by implanting a p-type substrate (112) with a retrograde n-well (116) so that a pocket (136) of the p-type substrate material remains adjacent the surface of the substrate. This is accomplished using an n-well mask (168) having a pocket-masking region (184) in the aperture (180) corresponding to the ZVt pFET. The n-well may be formed by first creating a ring-shaped precursor n-well (116?) and then annealing the substrate so as to cause the regions of the lower portion (140?) of the precursor n-well to merge with one another to isolate the pocket of p-type substrate material. After the n-well and isolated pocket of p-type substrate material have been formed, remaining structures of the ZVt pFET may be formed, such as a gate insulator (128), gate (132), source (120), and drain (124).
    Type: Grant
    Filed: May 14, 2004
    Date of Patent: February 28, 2006
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey S. Brown, Chung H. Lam, Randy W. Mann, Jeffery H. Oppold
  • Patent number: 6995079
    Abstract: An object of the present invention is to provide an ion implantation method for shortening a down time of an ion implantation apparatus after exposure of a chamber and for improving throughput and a method for manufacturing a semiconductor device. Specifically, the object of the invention is to provide an ion implantation method that can improve throughput during an ion implantation step of B and a method for manufacturing a semiconductor device. The ion implantation method comprises the steps of: introducing an impurity imparting p-type conductivity and H2O in an ion source; ionizing the impurity imparting p-type conductivity; and implanting into a semiconductor film.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: February 7, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Junichi Koezuka, Hiroto Shinoda