Plural Dopants In Same Region (e.g., Through Same Mask Opening, Etc.) Patents (Class 438/546)
  • Patent number: 7297617
    Abstract: A method and device for reducing a dopant diffusion rate in a doped semiconductor region is provided. The methods and devices include selecting a plurality of impurity elements, including at least one dopant element. Selection of a plurality of impurity elements includes selecting a first impurity element with a first atomic radius larger than an average host matrix atomic radius and selecting a second impurity element with a second atomic radius smaller than an average host matrix atomic radius. The methods and devices further include selecting amounts of each impurity element of the plurality of impurity elements wherein amounts and atomic radii of each of the plurality of impurity elements complement each other to reduce a host matrix lattice strain.
    Type: Grant
    Filed: April 22, 2003
    Date of Patent: November 20, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Jerome M. Eldridge
  • Patent number: 7262110
    Abstract: In general, the present invention discloses at least one trench isolation region formed in a semiconductor substrate to electrically and/or optically isolate at least one active region from another active region. The at least one trench isolation region comprises a bottom portion and first and second trench sidewalls. At least one trench sidewall is adjacent a doped region. The at least one sidewall adjacent a doped region has a higher impurity dopant concentration than impurity doped regions surrounding the at least one trench isolation region.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: August 28, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Joohyun Jin
  • Patent number: 7202146
    Abstract: A process for producing doped semiconductor wafers from silicon, which contain an electrically active dopant, such as boron, phosphorus, arsenic or antimony, optionally are additionally doped with germanium and have a defined thermal conductivity, involves producing a single crystal from silicon and processing further to form semiconductor wafers, the thermal conductivity being established by selecting a concentration of the electrically active dopant and optionally a concentration of germanium. Semiconductor wafers produced from silicon by the process have specific properties with regard to thermal conductivity and resistivity.
    Type: Grant
    Filed: August 9, 2005
    Date of Patent: April 10, 2007
    Assignee: Siltronic AG
    Inventors: Rupert Krautbauer, Christoph Frey, Simon Zitzelsberger, Lothar Lehmann
  • Patent number: 7157779
    Abstract: An operational withstand voltage of a high voltage MOS transistor is enhanced and a variation in a saturation current Idsat is suppressed. A gate insulation film is formed on a P-type semiconductor substrate. A gate electrode is formed on the gate insulation film. A first low impurity concentration source layer and a first low impurity concentration drain layer are formed by tilt angle ion implantation of double charge phosphorus ions (31P++) using the gate electrode as a mask. Then a second low impurity concentration source layer and a second low impurity drain layer are formed by tilt angle ion implantation of single charge phosphorus ions (31P+).
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: January 2, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Eiji Nishibe, Toshihiro Hachiyanagi
  • Patent number: 7138322
    Abstract: An n-type channel diffused layer and an n-type well diffused layer are formed in the top portion of a semiconductor substrate, and a gate insulating film and a gate electrode are formed on the semiconductor substrate. Using the gate electrode as a mask, boron and arsenic are implanted to form p-type extension implanted layers and n-type pocket impurity implanted layers. Fluorine is then implanted using the gate electrode as a mask to form fluorine implanted layers. The resultant semiconductor substrate is subjected to rapid thermal annealing, forming p-type high-density extension diffused layers and n-type pocket diffused layers. Sidewalls and p-type high-density source/drain diffused layers are then formed.
    Type: Grant
    Filed: February 11, 2004
    Date of Patent: November 21, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Taiji Noda
  • Patent number: 7005340
    Abstract: A method is provided for manufacturing a semiconductor device that can reduce the number of steps in manufacturing a triple-well that includes multiple ion implantation steps and heat treatment steps.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: February 28, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Masahiro Hayashi
  • Patent number: 7005364
    Abstract: The invention provides a method for manufacturing a semiconductor device with which an impurity introduction region and a positioning mark region can be formed aligned, based on a common insulating film pattern.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: February 28, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Naoto Niisoe
  • Patent number: 6984590
    Abstract: A method of manufacturing an EEPROM device is disclosed. An example method forms a screen oxide film on a semiconductor substrate, forms a first ion implantation mask defining a gate insulating film forming region on the screen oxide film, and performs a first ion implantation on the semiconductor substrate and the first ion implantation mask. The example method also performs a first annealing of the semiconductor substrate, removes the screen oxide film and the first ion implantation mask, and forms a gate oxide film on the semiconductor substrate. In addition, the example method forms a second ion implantation mask defining a gate insulating film forming region on the gate oxide film, performs a second ion implantation on the semiconductor substrate and the second ion implantation mask, performs a second annealing for the semiconductor substrate, removes the second ion implantation mask; and forms a tunnel oxide film on the gate oxide film.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: January 10, 2006
    Assignee: Dongbu Anam Semiconductor Inc.
    Inventors: Chang Hun Han, Dong Oog Kim
  • Patent number: 6936527
    Abstract: A memory cell comprises a multilayer gate heating structure formed over a channel region between source and drain regions. The multilayer gate heating structure comprises polysilicon and metal silicide layers stacked over a similarly shaped gate oxide. When a programming voltage is applied across the metal silicide layer, there is intense localized heating. The heating causes segregation of the channel dopant atoms towards the source and drain regions, lowering the threshold voltage of the device. The heating causes carrier activation in the polysilicon layer and dopant penetration through the oxide layer into the channel region, thereby increasing the threshold voltage of the device.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: August 30, 2005
    Assignee: Xilinx, Inc.
    Inventor: Kevin T. Look
  • Patent number: 6927137
    Abstract: A method of forming a retrograde well in a transistor is provided. A transistor structure having a substrate, a gate, and a gate oxide layer between the substrate and the gate is formed. The substrate includes a channel region located generally below the gate. A first dopant is implanted into the channel region. A second dopant is implanted into the substrate to form a doped source region and a doped drain region. A third dopant is implanted into the gate oxide layer. A source/drain anneal is performed to form a source and a drain in the doped source region and the doped drain region, respectively. The source/drain anneal causes a portion of the first dopant in the channel region to be attracted by the third dopant into the gate oxide layer.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: August 9, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Srinivasan Chakravarthi, Pr Chidambaram, Robert C. Bowen, Haowen Bu
  • Patent number: 6887745
    Abstract: A polysilicon thin film transistor and a method of forming the same is provided. A poly-island layer is formed over a substrate. A gate insulation layer is formed over the poly-island layer. A gate is formed over the gate insulation layer. Using the gate as a mask, an ion implantation of the poly-island layer is carried out to form a source/drain region in the poly-island layer outside the channel region. An oxide layer and a silicon nitride layer, together serving as an inter-layer dielectric layer, are sequentially formed over the substrate. Thickness of the oxide layer is thicker than or the same as (thickness of the nitride layer multiplied by 9000 ?)1/2 and maximum thickness of the nitride layer is smaller than 1000 ?.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: May 3, 2005
    Assignee: Au Optronics Corporation
    Inventors: Kun-Hong Chen, Chinwei Hu
  • Patent number: 6864144
    Abstract: A resist material used to mask an underlying layer during an etch process is subjected to ion implantation to harden the resist material against damage from the etch process. In a particular embodiment, the resist material is compatible with exposure to 193 nm radiation for patterning the resist material.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: March 8, 2005
    Assignee: Intel Corporation
    Inventors: Christopher Kenyon, Michael R. Fahy, Gerard T. Zietz
  • Patent number: 6849528
    Abstract: One aspect of the invention relates to a method of forming P-N junctions within a semiconductor substrate. The method involves providing a temporary impurity species, such as fluorine, within the semiconductor crystal matrix prior to solid source in-diffusion of the primary dopant, such as boron. The impurity atom is a faster diffusing species relative to silicon atoms. During in-diffusion, the temporary impurity species acts to reduce the depth to which the primary dopant diffuses and thereby facilitates the formation of very shallow junctions.
    Type: Grant
    Filed: December 12, 2001
    Date of Patent: February 1, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Srinivasan Chakravarthi, Periannan Chidambaram
  • Publication number: 20040209434
    Abstract: The present invention provides a highly doped semiconductor layer.
    Type: Application
    Filed: May 11, 2004
    Publication date: October 21, 2004
    Applicant: RF MICRO DEVICES, INC.
    Inventors: Matthew L. Seaford, Arthur E. Geiss, Wayne Lewis, Larry W. Kapitan, Thomas J. Rogers
  • Patent number: 6797594
    Abstract: In a high frequency amplifying MOSFET having a drain offset region, the size is reduced and the on-resistance is decreased by providing conductor plugs 13 (P1) for leading out electrodes on a source region 10, a drain region 9 and leach-through layers 3 (4), to which a first layer wirings 11a, 11d (M1) are connected and, further, backing second layer wirings 12a to 12d are connected on the conductor plugs 13 (P1) to the first layer wirings 11s, 11d (M1).
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: September 28, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Yutaka Hoshino, Shuji Ikeda, Isao Yoshida, Shiro Kamohara, Megumi Kawakami, Tomoyuki Miyake, Masatoshi Morikawa
  • Patent number: 6797597
    Abstract: The invention relates to a process for treating a portion of the surface of a substrate according to a first and second surface treatments which are different from each other and are intended respectively for a first group of regions and for a second group of regions of the surface portion, the two groups of regions being mutually complementary with respect to the surface portion, the process making it possible to use only a single operation of positioning a mask which differentiates the regions of the first and second groups of regions, using the same protective materials for the regions of each group of regions against the effects of the treatment intended for the regions of the other group of regions. Application to the fabrication of semiconductor products.
    Type: Grant
    Filed: June 20, 2002
    Date of Patent: September 28, 2004
    Assignee: STMicroelectronics S.A.
    Inventors: Paul Ferreira, Phillipe Coronel
  • Patent number: 6773976
    Abstract: A semiconductor device and method of manufacturing the semiconductor device including a semiconductor substrate of a first conductivity type. A scribe lane area formed in the substrate to define chip formation areas. A deep well area formed in each chip formation area. The deep well area has a second conductivity type which is opposite the first conductivity type. Also, at least one well area is formed within the deep well area.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: August 10, 2004
    Assignee: Hyundai Eletronics Industries Co., Ltd.
    Inventor: Ha Zoong Kim
  • Patent number: 6713351
    Abstract: A double diffused field effect transistor and a method of forming the same is provided. The method begins by providing a substrate of a first conductivity type. Next, at least one dopant species, also of the first conductivity type, is introduced into a surface of the substrate so that the substrate has a nonuniform doping profile. An epitaxial layer of the first conductivity type is formed over the substrate and one or more body regions of a second conductivity type are formed within the epitaxial layer. A plurality of source regions of the first conductivity type are then formed within the body regions. Finally, a gate region is formed, which is adjacent to the body regions.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: March 30, 2004
    Assignee: General Semiconductor, Inc.
    Inventor: Richard A. Blanchard
  • Patent number: 6680250
    Abstract: A method of manufacturing a MOSFET semiconductor device includes forming a gate electrode over a substrate and a gate oxide between the gate electrode and the substrate. Inert dopants are then implanted within the substrate to form amorphized source/drain regions in the substrate extending to a first depth significantly greater than the intended junction depth. The amorphized source/drain regions are implanted with source/drain dopants such that the dopants extend into the substrate to a second depth less than the first depth, above and spaced apart from the end-of-range defect region created at the first depth by the amorphization process. Laser thermal annealing recrystallizes the amorphous regions, activates the source/drain regions and forms source/drain junctions.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: January 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Robert B. Ogle, Cyrus E. Tabery, Qi Xiang, Bin Yu
  • Publication number: 20030232490
    Abstract: A method is provided for manufacturing a semiconductor device having a high breakdown voltage transistor and a low breakdown voltage transistor with different driving voltages in a common substrate. The method includes: (a) introducing an impurity of a second conductivity type in a specified region of a semiconductor substrate of a first conductivity type to form a first impurity layer and a second impurity layer; (b) further introducing an impurity of the second conductivity type in a region of the second impurity layer to form a third impurity layer; and (c) conducting a heat treatment to diffuse impurities of the first impurity layer and the third impurity layer to form a first well of the second conductivity type and a second well of the second conductivity type having an impurity concentration higher than the first well.
    Type: Application
    Filed: March 5, 2003
    Publication date: December 18, 2003
    Inventor: Masahiro Hayashi
  • Publication number: 20030216016
    Abstract: A method for fabricating a triple well in a semiconductor device, includes the steps of forming a first well of a first conductive type with a first concentration lower than a first target concentration, wherein the first concentration is the minimum dose capable of isolating neighboring wells each other and forming a second well of a second conductive type with a second concentration higher than a second target concentration, wherein the second well includes a first region surrounded by the first well and a second region isolated from the first region by the first well.
    Type: Application
    Filed: December 30, 2002
    Publication date: November 20, 2003
    Inventor: Jae-Geun Oh
  • Patent number: 6645820
    Abstract: An ESD protection circuit protects integrated circuits having multiple power supply voltage sources from damage when an ESD event causes excessive differential voltages between the multiple separate power supply voltage sources. The ESD protection circuit has a string of serially connected lateral polycrystalline silicon diodes characterized by consistent turn-on threshold voltage level such that as the number of stage of the ESD protection circuit increase, the turn-on voltage threshold of the ESD protection circuit increase linearly.
    Type: Grant
    Filed: April 9, 2002
    Date of Patent: November 11, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Kuo Reay Peng, Jian-Hsing Lee, Shui-Hung Chen
  • Patent number: 6579782
    Abstract: A method for manufacturing a vertical power component on a substrate formed of a lightly-doped silicon wafer, including the steps of boring on the lower surface side of the substrate a succession of holes perpendicular to this surface; diffusing a dopant from the holes, of a second conductivity type opposite to that of the substrate; and boring similar holes on the upper surface side of the substrate to define an isolating wall and diffuse from these holes a dopant of the second conductivity type with a high doping level, the holes corresponding to the isolating wall being sufficiently close for the diffused areas to join laterally and vertically.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: June 17, 2003
    Assignee: STMicroelectronics S.A.
    Inventor: Mathieu Roy
  • Patent number: 6537899
    Abstract: The invention relates to a power MOSFET and reduction of the number of mask steps in a process of fabricating the power MOSFET. The increase of a parasitic capacitance due to the reduction is suppressed. In place of a thick insulating film 3, a gate insulating film 12 is formed on the entire surface of a semiconductor substrate. The gate-drain parasitic capacitance which uses the gate insulating film as a dielectric is suppressed by forming a removal region EL.
    Type: Grant
    Filed: September 15, 1998
    Date of Patent: March 25, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hirotoshi Kubo, Eiichiroh Kuwako
  • Publication number: 20030013262
    Abstract: A method of fabricating an integrated circuit including a monocrystalline silicon substrate, a layer of polycrystalline silicon on the top surface of the substrate and doped with at least two dopants with different rates of diffusion, in which method annealing is performed at a temperature and for a time such that a first dopant diffuses into a first zone and a second dopant diffuses into a second zone larger than the first zone.
    Type: Application
    Filed: June 13, 2002
    Publication date: January 16, 2003
    Applicant: STMICROELECTRONICS S.A.
    Inventors: Olivier Menut, Herve Jaouen
  • Patent number: 6489203
    Abstract: A novel silicon RF LDMOSFET structure based on the use of a stacked LDD, is disclosed. The LDD has been modified from a single layer of N type material to a stack of three layers. These are upper and lower N type layers with a P type layer between them. The upper N type layer is heavily doped to reduce the on-resistance of the device, while the lower N type layer is lightly doped to reduce the output capacitance, thereby improving the high frequency performance. The middle P layer is heavily doped which allows it to bring about pinch-off of the two N layers, thereby raising the device's breakdown voltage. A process for manufacturing the device, as well as experimental data concerning its performance are also given.
    Type: Grant
    Filed: May 7, 2001
    Date of Patent: December 3, 2002
    Assignee: Institute of Microelectronics
    Inventors: Jun Cai, Pang Dow Foo, Narayanan Balasubramanian
  • Patent number: 6448589
    Abstract: A connector block formed in a semiconductor chip to provide all contacts on the same side of the chip. The connector block is preferably formed by driving a slow diffusing dopant deep into the chip from both sides until the diffused dopant overlaps in the middle of the chip. The connector block is metalized with a top contact and connected to circuits. The bottom of the connector block is metallized and connected to other bottom side contacts which, in turn may be connected to circuits. This arrangement effectively allows all contacts to be available from the top side of the semiconductor chip.
    Type: Grant
    Filed: May 19, 2000
    Date of Patent: September 10, 2002
    Assignee: Teccor Electronics, L.P.
    Inventors: Kelly C. Casey, Elmer Lee Turner, Jr.
  • Publication number: 20020098638
    Abstract: A semiconductor integrated circuit device comprises an n-type well 8-1 formed in a p-type silicon substrate 1, an n-type well 8-2 formed so as to surround a part of the substrate 1, in which a p−-type well is formed, a p−-type well 15-1 formed in the substrate 1, a p−-type well 15-2 formed in a part of the substrate 1, which is surrounded by the n-type well, an embedded n-type well 12-1 formed below the p-type well 15-1, and an n-type well 12-2 which is formed below the p−-type well 15-2 and which is connected to the n-type well 8-2. Thus, it is possible to provide a semiconductor integrated circuit device capable of suppressing the increase of the number of photolithography steps and reducing the manufacturing costs.
    Type: Application
    Filed: January 28, 2002
    Publication date: July 25, 2002
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Toshitake Yaegashi, Seiichi Aritome, Yuji Takeuchi, Kazuhiro Shimizu
  • Patent number: 6380038
    Abstract: A method of fabricating an integrated circuit provides a transistor having less susceptibility to short channel effects. The transistor utilizes a U-shaped gate conductor and a main gate conductor. The U-shaped gate conductor can provide electrically induced source/drain extensions. The transistor can be a PMOS or NMOS transistor.
    Type: Grant
    Filed: October 30, 2000
    Date of Patent: April 30, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6362056
    Abstract: A method for forming depleted conductor regions in MOSFET arrays includes the steps of preparing a substrate, forming a conductor layer on the substrate, implanting a dopant species into the conductor layer, masking portions of the doped conductor layer, and counterdoping unmasked portions of the doped conductor layer to form said depleted conductor regions on the substrate. This method provides an alternative to dual gate oxide for MOSFETS wherein low voltage regions at doped layers are used for support devices and high voltage regions at counterdoped portions are used for memory arrays such as DRAM, EDRAM, SRAM and NVRAM. This method is also applicable for all chips requiring high and low voltage integral device operation.
    Type: Grant
    Filed: February 23, 2000
    Date of Patent: March 26, 2002
    Assignee: International Business Machines Corporation
    Inventors: William R. Tonti, Jack A. Mandelman
  • Patent number: 6362039
    Abstract: A method is provided for combining the process steps for forming a resistor and interconnect into one process layer, thus eliminating the need for at least two mask steps. An oxide layer is formed over a region of a polysilicon layer in which the resistor will be formed. The oxide protects the resistor from further processing. A conductive layer is then deposited at least over the exposed portion of the polysilicon layer. In a first preferred embodiment, a refractory metal forms the conductive layer. The refractory metal is sintered or heated to form silicide over the exposed portion of the polysilicon layer, and the non-silicided metal is removed. The underlying layer may be doped as desired, before or after silicidation, for the first preferred embodiment. Thus, a resistor and conductive interconnect is formed within the same layer. Also disclosed is an embodiment in which the conductive layer need not be sintered, and an embodiment in which the resistor is formed in the sidewalls of a vertical cavity.
    Type: Grant
    Filed: February 19, 1999
    Date of Patent: March 26, 2002
    Assignee: Micron Technology, Inc.
    Inventors: H. Monte Manning, Shubneesh Batra
  • Patent number: 6362019
    Abstract: A solid-state imaging device comprises a plurality of pixels, each pixel comprising a semiconductor substrate of a first conductivity type; a photo-receiving portion of a second conductivity type formed in the semiconductor substrate; a detecting portion of the second conductivity type formed in the semiconductor substrate; an insulating film formed on the semiconductor substrate; a transfer gate electrode formed on the insulating film at lest between the photo-receiving portion and the detecting portion; and a read-out circuit, which is electrically connected to the detecting portion. A diffusion region of the same conductivity type as the detecting portion is formed in a region of the semiconductor substrate that is adjacent to an end of the detecting portion near the gate electrode and separate from the photo-receiving portion. An impurity concentration in the photo-receiving portion and an impurity concentration in the diffusion region are lower than an impurity concentration in the detecting portion.
    Type: Grant
    Filed: March 24, 2000
    Date of Patent: March 26, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Toshihiro Kuriyama
  • Publication number: 20020022367
    Abstract: A method for fabricating a semiconductor substrate includes forming a suicide layer at a predetermined portion of a semiconductor substrate, implanting two or more impurity ions before annealing, and forming an impurity region in the semiconductor substrate by annealing the silicide layer and by diffusing the impurity ions from the silicide layer into the semiconductor substrate. Accordingly, the present invention can improve reliability and performance of a semiconductor device by reducing dopant loss and leakage current of a PN junction in the substrate and by decreasing a sheet resistance of the silicide layer. The dose of the second implanter ions is about one hundred to one thousand times less than the dose of the first implanted ions.
    Type: Application
    Filed: November 5, 1999
    Publication date: February 21, 2002
    Inventors: JI SOO PARK, DONG KYUN SON
  • Patent number: 6342418
    Abstract: An impurity concentration profile that improves pn junction breakdown voltage and mitigates the electric field, and that does not adversely affect the characteristics of a field effect transistor is realized. An n type source/drain region is formed at a silicon substrate. A p type impurity concentration profile. includes respective peak concentrations at a dope region for forming a p type well, a p type channel cut region, and a p type channel dope region. An impurity concentration profile of the n type source/drain region crosses the p type impurity concentration profile at a low concentration, and includes phosphorus implantation regions indicating impurity concentrations respectively higher than those of the p type channel cut region and the p type channel dope region and respective peaks in impurity concentration at the neighborhood of respective depth thereof.
    Type: Grant
    Filed: January 20, 1999
    Date of Patent: January 29, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takaaki Murakami, Kenji Yasumura
  • Patent number: 6342438
    Abstract: A dual doped CMOS gate structure utilizes a nitrogen implant to suppress dopant inter-diffusion. The nitrogen implant is provided above standard trench isolation structures. Alternatively, an oxygen implant can be utilized. The use of the implant allows an increase in packing density for ultra-large-scale integrated (ULSI) circuits. The doping for N-channel and P-channel active regions can be completed when the polysilicon gate structures are doped.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: January 29, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Ming-Ren Lin
  • Publication number: 20010053589
    Abstract: Method of manufacturing an edge structure for a high voltage semiconductor device, including a first step of forming a first semiconductor layer of a first conductivity type, a second step of forming a first mask over the top surface of the first semiconductor layer, a third step of removing portions of the first mask in order to form at least one opening in it, a fourth step of introducing dopant of a second conductivity type in the first semiconductor layer through the at least one opening, a fifth step of completely removing the first mask and of forming a second semiconductor layer of the first conductivity type over the first semiconductor layer, a sixth step of diffusing the dopant implanted in the first semiconductor layer in order to form a doped region of the second conductivity type in the first and second semiconductor layers.
    Type: Application
    Filed: August 8, 2001
    Publication date: December 20, 2001
    Inventor: Ferruccio Frisina
  • Patent number: 6316341
    Abstract: A method for forming a cell passes transistor in DRAM process disclosed. In one embodiment, the present invention provides a MOS structure, which can reduce junction leakage for P/N junction and increase the refreshes time capability. A method for DRAM fabrication comprises providing a semiconductor substrate having at least an isolation device therein. The isolation device defines an active area adjacent thereto on the semiconductor substrate. A first photoresist layer is formed on the semiconductor substrate, which exposes the active area in a first direction. The first conductive ions are implanted to form a well region in the semiconductor substrate, and the second conductive ions are implanted to form a field implant region in the semiconductor substrate. The third conductive ions are implanted to form a punchthrough implant region in the semiconductor substrate. Then the first photoresist layer is removed, and a second photoresist layer is formed on the semiconductor substrate.
    Type: Grant
    Filed: February 21, 2000
    Date of Patent: November 13, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Kun-Chi Lin
  • Patent number: 6313002
    Abstract: The present invention relates to a method of manufacturing a thin film transistor for use in a liquid crystal display apparatus or the like. In the method, impurity ions are implanted into a semiconductor by intermittently generating a plasma which generates impurity ions, for a predetermined period at a predetermined interval. By changing the duty rate at which the plasma is generated, the effective value of a beam current can be controlled over a wide range with excellent accuracy without changing rates of ions. As a result, it is possible to form a channel portion and a lightly doped drain layer of a field effect transistor which contains silicon as a main component, so that a field effect transistor and a liquid crystal display device can be manufactured with high quality and excellent productivity.
    Type: Grant
    Filed: September 25, 1998
    Date of Patent: November 6, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kaichi Fukuda
  • Patent number: 6303410
    Abstract: Power semiconductor devices having recessed gate electrodes are formed by methods which include the steps of forming a semiconductor substrate having a drift region of first conductivity type therein extending to a face thereof and forming a trench in the substrate so that the trench has a bottom which extends opposite the drift region and a sidewall which extends from the drift region to the face. The sidewall may extend orthogonal to the face or at an angle greater than 90°. A preferred insulated gate electrode is formed by lining the face and trench with a gate electrode insulating layer and then forming a conductive layer on the gate electrode insulating layer. The conductive layer is preferably formed to extend opposite a portion of the face adjacent to the trench and into the trench. A step is then performed to pattern the conductive layer to define a T-shaped or Y-shaped gate electrode which fills the trench and also extends opposite the face at a location adjacent the trench.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: October 16, 2001
    Assignee: North Carolina State University
    Inventor: Bantval Jayant Baliga
  • Publication number: 20010029090
    Abstract: A method of forming a (Ba, Sr) TiO3 high dielectric constant thin film with sufficient coverage is provided.
    Type: Application
    Filed: April 12, 2001
    Publication date: October 11, 2001
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takaaki Kawahara, Mikio Yamamuka, Tsuyoshi Horikawa, Masayoshi Tarutani, Takehiko Sato, Shigeru Matsuno
  • Patent number: 6300210
    Abstract: The invention relates to the manufacture of a so-called double poly bipolar transistor. In a layer structure of a first insulating layer (4), a polycrystalline layer (5) of silicon and a second insulating layer (6), an opening (7) is formed which extends to a monocrystalline part of the semiconductor body (10), a third insulating layer (8) being provided on the bottom of the opening (7). Via the opening (7) at least a part (1A) of the base (1) is formed. By means of a further opening (9) in the third insulating layer (8), the emitter (3) is formed. A drawback of the known method resides in that the transistors obtained by means of said method exhibit a relatively great spread in electrical characteristics, such as a base current which is not ideal and demonstrates a spread.
    Type: Grant
    Filed: November 15, 1999
    Date of Patent: October 9, 2001
    Assignee: U.S. Philips Corporation
    Inventors: Johan H. Klootwijk, Cornelis E. Timmering
  • Patent number: 6291302
    Abstract: A method of providing a field effect transistor includes depositing a layer of a laser-reflective material on a substrate which has an active region and an inactive region; selectively removing portions of the deposited layer disposed over the active region; exposing laser energy to activate dopants in the active region; and stripping the deposited layer.
    Type: Grant
    Filed: January 14, 2000
    Date of Patent: September 18, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6248637
    Abstract: An ultra-large-scale integrated (ULSI) circuit includes MOSFETs on a substrate. The MOSFETs include elevated source and drain regions. The elevated source and drain regions are adjacent ultra-shallow source and drain regions. Dopants in the ultra-shallow source and drain regions are activated in a low-temperature rapid thermal anneal process.
    Type: Grant
    Filed: September 24, 1999
    Date of Patent: June 19, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6245608
    Abstract: A method of contact ion implantation is disclosed. Only one mask and a dosage-enhanced implantation is utilized to form different types of doped contact regions. A blanket ion implantation is first carried out, and all the contact regions of first and second type are formed with the first conductive type impurities. Then a mask is defined to cover the first type contact regions and expose the second type regions. A second ion implantation is now carried out to implant impurity ions of second conductive type into the second type contact regions. The dosage of these second conductive type ions is determined so that, the second type contact regions are convert from the first conductive type into section conductive type.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: June 12, 2001
    Assignee: Mosel Vitelic Inc.
    Inventors: Tsai-Sen Lin, Chon-Shin Jou, Der-Tsyr Fan
  • Patent number: 6238985
    Abstract: A semiconductor device is disclosed, including: a semiconductor substrate; a gate electrode formed on the semiconductor substrate; a first gate insulating layer formed between the gate electrode and semiconductor substrate, and formed at a first region including one edge of the gate electrode; a second gate insulating layer formed between the gate electrode and semiconductor substrate, and formed at a second portion including the other edge of the gate electrode, the second gate insulating layer being thicker than the first gate insulating layer; a first impurity region formed in a predetermined portion of the semiconductor substrate, placed on both sides of the gate electrode; and a second impurity region formed in a predetermined portion of the semiconductor substrate, placed under the second gate insulating layer.
    Type: Grant
    Filed: May 12, 1999
    Date of Patent: May 29, 2001
    Assignee: LG Semicon Co., Ltd.
    Inventor: Gyu Han Yoon
  • Patent number: 6215151
    Abstract: Integrated circuitry and methods of forming integrated circuitry are described. In one implementation, a common masking step is utilized to provide source/drain diffusion regions and halo ion implantation or dopant regions relative to the source/drain regions within one well region of a substrate; and well contact diffusion regions within another well region of the substrate. The common masking step preferably defines at least one mask opening over the substrate within which the well contact diffusion region is to be formed, and the mask opening is suitably dimensioned to reduce the amount of halo ion implantation dopant which ultimately reaches the substrate therebelow. According to one aspect, a plurality of mask openings are provided. According to another aspect, a suitably-dimensioned single mask opening is provided.
    Type: Grant
    Filed: February 23, 1999
    Date of Patent: April 10, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Zhiqiang Wu, Luan C. Tran, Robert Kerr, Shubneesh Batra, Rongsheng Yang
  • Patent number: 6162711
    Abstract: A method and structure providing a dual layer silicon gate film having a uniform boron distribution therein and an ordered, uniform grain structure. Rapid thermal annealing is used to cause the diffusion of boron from an originally doped film to an originally undoped film, resulting in a uniform boron distribution within the structure, thereby rendering the structure resistant to vertical and lateral diffusion of the boron during subsequent processing at elevated temperatures.
    Type: Grant
    Filed: January 15, 1999
    Date of Patent: December 19, 2000
    Assignee: Lucent Technologies, Inc.
    Inventors: Yi Ma, Stefanie Chaplin, Stephen Carl Kuehne, Brittin Charles Kane, Michael A. Laughery
  • Patent number: 6159803
    Abstract: A method of fabicrating a flash memory. A semiconductor substrate having a field oxide layer which comprises a plurality of parallel oxide lines, a plurality of parallel word lines perpendicular to the parallel oxide lines, a dielectric layer having a same structure as and under the word lines, a plurality of floating gates separated by the field oxide layer from each other under the dielectric layer, and a plurality of regions encompassed by the field oxide laver and the word lines is provided. A first step of ion implantation to the substrate is performed by using the word lines as masks, so that a plurality of source regions and a plurality of drain regions are formed beside the word lines. Whereas each of the source regions and each of the drain regions are formed in the regions encompassed by the field oxide layer and the word lines. A photo-resist layer is formed to cover the drain regions.
    Type: Grant
    Filed: November 4, 1998
    Date of Patent: December 12, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Gary Hong, Joe Ko
  • Patent number: 6150671
    Abstract: A transistor of SiC having a drain and a highly doped substrate layer is formed on the drain. A highly n type buffer layer may optionally be formed on the substrate layer. A low doped n-type drift layer, a p-type base layer, a high doped n-type source region layer and a source are formed on the substrate layer. An insulating layer with a gate electrode is arranged on top of the base layer and extends substantially laterally from at least the source region layer to a n-type layer. When a voltage is applied to the gate electrode, a conducting inversion channel is formed extending substantially laterally in the base layer at an interface of the p-type base layer and the insulating layer. The p-type base layer is low doped in a region next to the interface to the insulating layer at which the inversion channel is formed and highly doped in a region thereunder next to the drift layer.
    Type: Grant
    Filed: April 24, 1996
    Date of Patent: November 21, 2000
    Assignee: ABB Research Ltd.
    Inventors: Christopher Harris, Ulf Gustafsson, Mietek Bakowski
  • Patent number: 6143612
    Abstract: A high voltage transistor exhibiting high gated diode breakdown voltage, low leakage and low body effect is forced while avoiding an excessive number of costly masking steps. Embodiments include providing a high gated diode breakdown voltage by masking the high voltage junctions from the conventional field implant, masking the source/drain regions from the conventional threshold adjust implant, and employing a very lightly doped n-type implant in lieu of conventional n+ and LDD implants. Appropriate openings are formed in the field implant blocking mask so that the field implant occurs at the edges of the junctions, thus achieving low leakage. The field implant blocking mask is extended over the channel area, thereby producing a transistor with low body effect.
    Type: Grant
    Filed: October 14, 1998
    Date of Patent: November 7, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Narbeh Derhacobian, Pau-ling Chen, Hao Fang, Timothy Thurgate