Silicide Patents (Class 438/581)
  • Patent number: 6331476
    Abstract: In producing a thin film transistor used for such devices as a large-sized liquid crystal display panel with a high pixel density, a leftover of an insulating film caused by insufficient etching and a loss of a semiconductor layer caused by overetching are prevented, and a reliable electrical contact between the source and drain electrodes and the semiconductor layer is achieved. These are achieved by (a) forming a contact hole region of a silicon film so that the region has a larger thickness, for example, by making the film to have a plurality of layers, and (b) providing a silicide layer between an electrode metal and the semiconductor layer.
    Type: Grant
    Filed: May 21, 1999
    Date of Patent: December 18, 2001
    Assignee: Mausushita Electric Industrial Co., Ltd.
    Inventors: Tetsuo Kawakita, Keizaburo Kuramasu, Shigeo Ikuda
  • Patent number: 6303479
    Abstract: The present invention Is a fabrication method for a short-channel Schottky-barrier field-effect transistor device. The method of the present invention includes introducing channel dopants into a semiconductor substrate such that the dopant concentration varies in the vertical direction and is generally constant in the lateral direction. A gate electrode is formed on the semiconductor substrate, and source and drain electrodes are formed on the substrate to form a Schottky or Schottky-like contact to the substrate.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: October 16, 2001
    Assignee: Spinnaker Semiconductor, Inc.
    Inventor: John P. Snyder
  • Patent number: 6262460
    Abstract: When the threshold voltage of a long-channel transistor is set during the same dopant step of a manufacturing process that sets the threshold voltage of a short-channel transistor, the threshold voltage of the long-channel transistor is increased by connecting the long-channel transistor in series with a schottky diode.
    Type: Grant
    Filed: January 7, 2000
    Date of Patent: July 17, 2001
    Assignee: National Semiconductor Corporation
    Inventors: Alexander Kalnitsky, Pavel Poplevine, Albert Bergemont
  • Patent number: 6258639
    Abstract: A transistor structure with a degradation-stop layer that prevents degradation of underlying semiconductor layers while minimizing any increase in the gate leakage current is disclosed. In one embodiment, a transistor structure includes: a substrate; a channel layer formed of a charge transport material over the substrate; a Schottky barrier layer formed of an aluminum-containing material over the channel layer; a degradation-stop layer formed of a substantially aluminum-free material over the Schottky barrier layer; and a source, a drain and a gate. The source and the drain being formed over or alloyed through the degradation-stop layer, and a lower portion of the gate extends down through an exposed portion of the degradation-stop layer and is in physical and electrical contact with the Schottky barrier layer.
    Type: Grant
    Filed: May 21, 1999
    Date of Patent: July 10, 2001
    Assignee: Agilent Technologies, Inc.
    Inventors: Hans Rohdin, Chung-Yi Su, Arlene Sachiyo Wakita-Oyama, Nicolas J. Moll
  • Patent number: 6255169
    Abstract: A process for fabricating a non-volatile memory device includes the step of forming a nitrogen region in a semiconductor substrate prior to carrying out a thermal oxidation process to form a tunnel oxide layer. In a preferred process, nitrogen atoms are ion implanted into a silicon substrate to form a nitrogen region at the substrate surface. Then, a thermal oxidation process is carried out to grow a thin tunnel oxide layer overlying the surface of the nitrogen region. During the oxidation process, nitrogen is incorporated into the growing tunnel oxide layer. A floating-gate electrode is formed overlying the tunnel oxide layer and receives electrical charge transferred from a charge control region of the substrate through the tunnel oxide layer. The tunnel oxide layer is capable of undergoing repeated programming and erasing operations while exhibiting reduced effects from stress induced current leakage.
    Type: Grant
    Filed: February 22, 1999
    Date of Patent: July 3, 2001
    Assignees: Advanced Micro Devices, Inc., Vantis Corporation
    Inventors: Xiao-Yu Li, Qi Xiang, Sunil D. Mehta
  • Patent number: 6218688
    Abstract: The silicon real estate consumed by a conventional Schottky diode is reduced in the present invention by forming the Schottky diode through a field oxide isolation region. Etching through the field oxide isolation region requires extra etch time which is provided by conventional etch steps that typically specify a 50-100% overetch during contact formation.
    Type: Grant
    Filed: March 29, 1999
    Date of Patent: April 17, 2001
    Assignee: National Semiconductor Corporation
    Inventors: Alexander Kalnitsky, Pavel Poplevine, Albert Bergemont
  • Patent number: 6187657
    Abstract: This invention comprises a new technique to realize a dual material gate MOSFET. The inventive technique is base upon an asymmetric oxide spacer formation and a self-aligned silicide formation. The asymmetric oxide spacer on the sidewall of the drain side of the gate is formed by selectively etching the spacer on the source side. The etch selectivity is realized by nitrogen implantation into an oxide spacer on the source side, by utilizing preferably an angled ion implantation technique. An HF solution has been experimentally demonstrated to provide an etch rate of the nitrogen implanted oxide that is much faster than the oxide without the nitrogen implantation.
    Type: Grant
    Filed: March 24, 1999
    Date of Patent: February 13, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Joong Jeon
  • Patent number: 6184564
    Abstract: A schottky diode is formed of a sintered barrier metal layer which contacts a lightly doped silicon surface. The barrier metal layer is formed of palladium as well as a small quantity of another metal whose choice is determined by the desired value of the barrier height of the resulting schottky diode. A small quantity of platinum is selected to increase the barrier height, and a small quantity of nickel is selected to decrease the barrier height. A contact metal, which may include a tri-metal layer of titanium, nickel and silver, is formed atop the sintered schottky barrier layer. The resulting process also allows for control of reverse hot leakage current.
    Type: Grant
    Filed: December 28, 1998
    Date of Patent: February 6, 2001
    Assignee: International Rectifier Corp.
    Inventor: Herbert J. Gould
  • Patent number: 6180477
    Abstract: A method of fabricating a field effect transistor is described. A gate oxide layer is formed on a substrate. A gate is formed on the gate oxide layer. A source region and a drain region are formed beside the gate in the substrate. A first spacer is formed beside a sidewall of the gate. A preserve layer is formed beside the first spacer. A second spacer is formed beside a sidewall of the preserve layer.
    Type: Grant
    Filed: April 16, 1999
    Date of Patent: January 30, 2001
    Assignee: United Silicon Incorporated
    Inventor: Kuan-Yang Liao
  • Patent number: 6169005
    Abstract: High integrity ultra-shallow source/drain junctions are formed employing cobalt silicide contacts. These are formed by depositing a layer of cobalt on a substrate above intended source/drain regions, and depositing a doped amorphous silicon film on the cobalt. Silicidation, as by rapid thermal annealing, is performed to form a low-resistance cobalt suicide while consuming the amorphous silicon film and diffusing impurities from the doped amorphous silicon film through the cobalt silicide into the substrate. The diffusion of the impurities forms shallow junctions extending into the substrate a substantially constant depth below the cobalt silicide/silicon substrate interface.
    Type: Grant
    Filed: May 26, 1999
    Date of Patent: January 2, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Nick Kepler, Karsten Wieczorek, Larry Wang, Paul Raymond Besser
  • Patent number: 6156632
    Abstract: A method of forming a polycide structure in accordance with the present invention includes forming a polysilicon layer on a surface. A refractory metal silicide portion of the polycide structure is formed on the polysilicon layer and the polysilicon portion of the polycide line is formed after formation of the metal siticide portion. The formation of the metal silicide portion of the polycide structure may include forming an oxide hard mask over the polysilicon layer exposing line portions of the polysilicon layer. The exposed line portions of the polysilicon layer are silicided resulting in a refractory metal silicide portion and unreacted material over the oxide hard mask. The unreacted material and oxide hard mask are then removed. The refractory metal silicide portion may be formed by forming a refractory metal or metal silicide layer, such as cobalt or cobalt silicide, over the oxide hard mask and exposed portions of the polysilicon layer.
    Type: Grant
    Filed: August 15, 1997
    Date of Patent: December 5, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Klaus Florian Schuegraf
  • Patent number: 6100173
    Abstract: An integrated circuit fabrication process is provided for using a dual salicidation process to form a silicide gate conductor to a greater thickness than silicide structures formed upon source and drain regions of a transistor. A high K gate dielectric residing between the gate conductor and the substrate substantially inhibits consumption of the junctions during the formation of the silicide gate conductor. In an embodiment, a relatively thick layer of refractory metal is deposited across a transistor arranged upon and within a silicon-based substrate. The transistor includes a polysilicon gate conductor arranged upon a portion of a high K gate dielectric interposed between a pair of source and drain junctions. The refractory metal is heated to convert the polysilicon gate conductor to a silicide gate conductor.
    Type: Grant
    Filed: July 15, 1998
    Date of Patent: August 8, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, H. Jim Fulford, Jr., Charles E. May
  • Patent number: 6063692
    Abstract: A method of fabricating an oxidation barrier for a thin film is provided. The method may include forming a thin film (10) outwardly from a semiconductor substrate (12) and separated from the semiconductor substrate (12) by a primary insulator layer (14). A reactive layer (16) may be formed in-situ adjacent to the thin film (10). An oxidation barrier (20) may be formed by a chemical reaction between the thin film (10) and the reactive layer (16). The oxidation barrier (20) may comprise a silicide alloy that operates to reduce oxidation of the thin film (10).
    Type: Grant
    Filed: December 14, 1998
    Date of Patent: May 16, 2000
    Assignee: Texas Instruments Incorporated
    Inventors: Wei William Lee, Joseph D. Luttmer, Hong Yang
  • Patent number: 6060392
    Abstract: Stable suicides are formed utilizing excimer laser crystallization in place of a conventional second high temperature rapid thermal processing annealing step. Specifically, thermally unstable silicide having a metal-rich surface layer is conventionally formed utilizing deposition of refractory metal followed by low temperature annealing. After removal of unreacted refractory metal, an amorphous silicon film is deposited on top of the unstable silicide and exposed to radiation from an excimer laser, such that the amorphous silicon melts, reacts with refractory metal from the underlying unstable silicide, and reforms as thermally stable silicide evidencing low electrical resistance.
    Type: Grant
    Filed: February 11, 1998
    Date of Patent: May 9, 2000
    Assignee: National Semiconductor Corporation
    Inventors: Stepan Essaian, Abdalla Naem
  • Patent number: 6022794
    Abstract: A method of manufacturing the buried contact window of an SRAM cell. The method includes the steps of first providing a first conductive type substrate that has an isolating structure and a gate thereon. The gate comprises a gate oxide layer, a polysilicon layer and a sacrificial layer. Next, a heavily doped region of a second conductive type is formed in the substrate between the device isolating structure and the gate terminal. The heavily doped region acts as a buried contact window. Thereafter, a metal silicide layer is formed over the heavily doped region so that the two are electrically coupled. Next, the sacrificial layer is removed, and then a conductive layer that includes a polysilicon layer and a tungsten silicide layer is formed over the substrate. Subsequently, the conductive layer is patterned to form a conductive line layer and a gate stack.
    Type: Grant
    Filed: July 28, 1998
    Date of Patent: February 8, 2000
    Assignee: United Microeletronics Corp.
    Inventor: Chen-Chung Hsu
  • Patent number: 5888891
    Abstract: A schottky diode is formed of a sintered palladium platinum silicide in contact with a lightly doped silicon surface in which the platinum and palladium are present in a ratio of about one part to about 10 parts respectively, by weight.
    Type: Grant
    Filed: August 23, 1996
    Date of Patent: March 30, 1999
    Assignee: International Rectifier Corporation
    Inventor: Herbert J. Gould
  • Patent number: 5858838
    Abstract: A method for increasing the surface area of a polysilicon storage node electrode, used as a component for a DRAM stacked capacitor structure, has been developed. The method features forming a metal silicide layer, on the top surface of the polysilicon storage node electrode, locally consuming regions of underlying polysilicon during the metal silicide formation. Removal of the metal silicide layer, from the surface of the polysilicon storage node electrode, results in a roughened surface, comprised of crevices in the top surface of the polysilicon storage node electrode, in regions in which localized metal silicide formation had occurred. The crevices in the top surface of the polysilicon storage node electrode result in surface area increases, when compared to counterparts fabricated using smooth polysilicon surfaces.
    Type: Grant
    Filed: February 23, 1998
    Date of Patent: January 12, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Jong Wang, Chia-Shiung Tsai
  • Patent number: 5834368
    Abstract: A method for manufacturing an integrated circuit, wherein, before providing an IC composite by forming a metal film on an IC assembly which includes a semiconductor substrate and a silicon part formed along the substrate and consisting essentially of silicon, an amorphous region is formed into the silicon part. The IC composite is subjected to first primary and secondary heat treatments in a nitrogen atmosphere and then to a second heat treatment at 600.degree.-700.degree. C., 700.degree.-900.degree. C., and 700.degree.-900.degree. C. to turn the metal film on the silicon part into a metal silicide film of excellent uniformity. The assembly has a silicon dioxide portion, on which the metal film is turned during the first primary and secondary heat treatments into a metal nitride film. The second heat treatment is carried out after the removal of the metal nitride film.
    Type: Grant
    Filed: March 10, 1997
    Date of Patent: November 10, 1998
    Assignee: NEC Corporation
    Inventors: Hiroshi Kawaguchi, Isami Sakai