Planarization Patents (Class 438/626)
  • Patent number: 7811927
    Abstract: A method of manufacturing a metal line according to embodiments includes forming an interlayer dielectric layer over a semiconductor substrate. A dielectric layer is formed over the interlayer dielectric layer. A trench may be formed by etching the dielectric layer and the interlayer dielectric layer. A metal material may be disposed over the interlayer dielectric layer including the trench. A first planarization process may be performed on the metal material using the dielectric layer as an etch stop layer. A wet etch process may be performed on the semiconductor substrate subjected the first planarization process. A second planarization process may be performed on interlayer dielectric layer subjected to the wet etch process.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: October 12, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Myung-Il Kang
  • Patent number: 7811930
    Abstract: A manufacturing method of a dual damascene structure is provided. First, a first dielectric layer, a second dielectric layer, and a mask layer are formed. A first trench structure is formed in the mask layer. A via structure is formed in the mask layer, the second dielectric layer, and the first dielectric layer. A portion of the second dielectric layer is then removed, so as to transform the first trench structure into a second trench structure. Here, a bottom of the second trench structure exposes the first dielectric layer.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: October 12, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Chih-Jung Wang
  • Publication number: 20100255674
    Abstract: Provided is a method of forming a contact structure. The method includes forming a conductive pattern on a substrate. An interlayer insulating layer covering the conductive pattern is formed. The interlayer insulating layer is patterned to form an opening partially exposing the conductive pattern. An oxide layer is formed on substantially the entire surface of the substrate on which the opening is formed. A reduction process is performed to reduce the oxide layer. Here, the oxide layer on a bottom region of the opening is reduced to a catalyst layer, and the oxide layer on a region other than the bottom region of the opening is reduced to a non-catalyst layer. A nano material is grown from the catalyst layer, so that a contact plug is formed in the opening.
    Type: Application
    Filed: March 30, 2010
    Publication date: October 7, 2010
    Inventors: Kyung-Rae BYUN, Suk-Ho Joo, Min-Joon Park
  • Patent number: 7795061
    Abstract: MEMS devices (such as interferometric modulators) may be fabricated using a sacrificial layer that contains a heat vaporizable polymer to form a gap between a moveable layer and a substrate. One embodiment provides a method of making a MEMS device that includes depositing a polymer layer over a substrate, forming an electrically conductive layer over the polymer layer, and vaporizing at least a portion of the polymer layer to form a cavity between the substrate and the electrically conductive layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: September 14, 2010
    Assignee: Qualcomm MEMS Technologies, Inc.
    Inventors: Chun-Ming Wang, Jeffrey Lan, Teruo Sasagawa
  • Patent number: 7795131
    Abstract: A method of fabricating metal interconnects and an inter-metal dielectric layer thereof. A first metal interconnect pattern and a second metal interconnect pattern disposed thereon are formed on a substrate by plating processes. Subsequently, an inter-metal dielectric layer is formed on the substrate, the first metal interconnect pattern and the second metal interconnect pattern. The inter-metal dielectric layer is then planarized and the second metal interconnect pattern is exposed.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: September 14, 2010
    Assignee: Touch Micro-System Technology Inc.
    Inventors: Kuan-Jui Huang, Jie-Mei Huang, Chung-Hsiang Wang
  • Patent number: 7790604
    Abstract: A method of depositing a bilayer of tungsten over tungsten nitride by a plasma sputtering process in which krypton is used as the sputter working gas during the tungsten deposition. Argon may be used as the sputtering working gas during the reactive sputtering deposition of tungsten nitride. The beneficial effect of reduction of tungsten resistivity is increased when the thickness of the tungsten layer is less than 50 nm and further increased when less than 35 nm. The method may be used in forming a gate stack including a polysilicon layer over a gate oxide layer over a silicon gate region of a MOS transistor in which the tungsten nitride acts as a barrier. A plasma sputter chamber in which the invention may be practiced includes gas sources of krypton, argon, and nitrogen.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: September 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Wei D. Wang, Srinivas Gandikota, Kishore Lavu
  • Patent number: 7790607
    Abstract: A substantially planar surface coexposes conductive or semiconductor features and a dielectric etch stop material. A second dielectric material, different from the dielectric etch stop material, is deposited on the substantially planar surface. A selective etch etches a hole or trench in the second dielectric material, so that the etch stops on the conductive or semiconductor feature and the dielectric etch stop material. In a preferred embodiment the substantially planar surface is formed by filling gaps between the conductive or semiconductor features with a first dielectric such as oxide, recessing the oxide, filling with a second dielectric such as nitride, then planarizing to coexpose the nitride and the conductive or semiconductor features.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: September 7, 2010
    Assignee: SanDisk 3D LLC
    Inventors: Samuel V. Dunton, Usha Raghuram, Christopher J. Petti
  • Patent number: 7776683
    Abstract: A method for defining patterns in an integrated circuit comprises defining a plurality of features in a first photoresist layer using photolithography over a first region of a substrate. The method further comprises using pitch multiplication to produce at least two features in a lower masking layer for each feature in the photoresist layer. The features in the lower masking layer include looped ends. The method further comprises covering with a second photoresist layer a second region of the substrate including the looped ends in the lower masking layer. The method further comprises etching a pattern of trenches in the substrate through the features in the lower masking layer without etching in the second region. The trenches have a trench width.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: August 17, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Luan C. Tran, John Lee, Zengtao “Tony” Liu, Eric Freeman, Russell Nielsen
  • Patent number: 7771779
    Abstract: The instant invention is a process for planarizing a microelectronic substrate with a cross-linked polymer dielectric layer, comprising the steps of: (a) heating such a substrate coated with a layer comprising an uncured cross-linkable polymer and a glass transition suppression modifier to a temperature greater than the glass transition temperature of the layer, the temperature being less than the curing temperature of the uncured cross-linkable polymer to form a substrate coated with a heat flowed layer; and (b) heating the substrate coated with the heat flowed layer to a curing temperature of the uncured cross-linkable polymer of the heated layer to cure the uncured cross-linkable polymer to form a planarized substrate wherein the percent planarization at 100 micrometers is greater than fifty percent. The instant invention is a microelectronic device made using the above-described process.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: August 10, 2010
    Inventors: Kenneth L. Foster, Michael J. Radler
  • Publication number: 20100176514
    Abstract: The invention comprises a copper interconnect structure that includes a noble metal cap with dielectric immediately adjacent the copper/noble metal cap interface recessed from the noble metal cap.
    Type: Application
    Filed: January 9, 2009
    Publication date: July 15, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chih-Chao Yang, Shyng-Tsong Chen, Baozhen Li
  • Patent number: 7745327
    Abstract: By appropriately designing a plurality of deposition steps and intermediate sputter processes, the formation of a barrier material within a via opening may be accomplished on the basis of a highly efficient process strategy that readily integrates conductive cap layers formed above metal-containing regions into well-approved process sequences.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: June 29, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Axel Preusse, Michael Friedemann, Robert Seidel, Berit Freudenberg
  • Patent number: 7745326
    Abstract: A method of producing a semiconductor device having a plurality of wiring layers forms a first interlayer-insulating film, forms a plurality of grooves for wiring in the first interlayer-insulating film, fills metallic films in the grooves to form wirings, etches the first interlayer-insulating film with the wirings as a mask and removes the interlayer-insulating film between the wirings to provide grooves to be filled, and fills a second interlayer-insulating film made of a material of low dielectric constant in the grooves to be filled.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: June 29, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshiaki Shimooka, Hideki Shibata, Hideshi Miyajima, Kazuhiro Tomioka
  • Publication number: 20100155949
    Abstract: Semiconductor devices and methods are disclosed for improving electrical connections to integrated circuits. A process flow and device with a dual/single damascene interconnect structure overlying an existing interconnect structure in a semiconductor wafer is provided. A capping layer is formed thereon that comprises nickel/palladium layers within a bond pad opening. The layers are polished using a chemical mechanical polishing (CMP) technique so that the capping layers are within the opening.
    Type: Application
    Filed: December 24, 2008
    Publication date: June 24, 2010
    Applicant: Texas Instruments Incorporated
    Inventor: Manoj K. Jain
  • Patent number: 7737038
    Abstract: A method of fabricating a semiconductor device includes forming a conductive layer on an insulating layer having a plurality of trenches on a semiconductor substrate, such that the conductive layer fills in the plurality of trenches formed in the insulating layer, and calculating a target eddy current value to measure an end point using parameters of a pattern density and a depth of the trenches. The method further includes planarizing the conductive layer and measuring eddy current values on the conductive layer using an eddy current monitoring system, and stopping the planarization when the measured eddy current value reaches the target eddy current value to form a planarized conductive layer having a target height on the insulating layer.
    Type: Grant
    Filed: December 7, 2006
    Date of Patent: June 15, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Mahn Lee, Byung-Lyul Park, MooJin Jung
  • Patent number: 7718522
    Abstract: A method of plating a plurality of semiconductor devices includes: applying an electrical power source to an anode terminal and a cathode terminal; placing the plurality of semiconductor devices on a non-conductive platform in a plating solution; moving conductive parts across surfaces of the semiconductor devices to be plated, wherein the conductive parts electrically connect the surfaces of the semiconductor devices to the cathode; and wherein plating particles connected to the anode terminal move to and plate the surfaces of the semiconductor devices.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: May 18, 2010
    Assignee: UTAC Thai Limited
    Inventors: Chalermsak Sumithpibul, Somchai Nondhasitthichai, Apichart Phaowongsa
  • Patent number: 7718545
    Abstract: A fabrication process, including forming one or more layers on at least a sidewall of a topographical feature of a substantially planar substrate, the sidewall being substantially orthogonal to the substrate; and planarizing respective portions of the one or more layers to form a planar surface substantially parallel to the substrate, wherein the planar surface includes respective co-planar surfaces of the one or more layers, at least one of the surfaces having a dimension determined by a thickness of the corresponding layer.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: May 18, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Hou Tee Ng, Alfred I-Tsung Pan
  • Patent number: 7704872
    Abstract: Processes for sealing porous low k dielectric film generally comprises exposing the porous surface of the porous low k dielectric film to ultraviolet (UV) radiation at intensities, times, wavelengths and in an atmosphere effective to seal the porous dielectric surface by means of carbonization, oxidation, and/or film densification. The surface of the surface of the porous low k material is sealed to a depth less than or equal to about 20 nanometers, wherein the surface is substantially free of pores after the UV exposure.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: April 27, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: Carlo Waldfried, Orlando Escorcia, Ivan Berry
  • Patent number: 7704856
    Abstract: A substrate support (201) having a flat supporting surface (201a) is prepared, and a semiconductor substrate (1) is fixed to the substrate supporting surface (201) by attaching a wiring forming surface (1a) to the supporting surface (201a) by suction, for example, by vacuum suction. On this occasion, the wiring forming surface (1a) is forcibly flattened by being attached to the supporting surface (201a) by suction, and therefore the wiring forming surface (1a) becomes a reference plane for planarization of a back surface (1b). In this state, planarization processing is performed by mechanically grinding the back surface (1b) to grind away projecting portions (12) of the back surface (1b). Hence, variations in the thickness of the substrate (especially, semiconductor substrate) are made uniform, and high-speed planarization is realized easily and inexpensively without disadvantages such as dishing and without any limitation on a wiring design.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: April 27, 2010
    Assignee: Fujitsu Limited
    Inventors: Kanae Nakagawa, Masataka Mizukoshi, Kazuo Teshirogi
  • Patent number: 7700477
    Abstract: In a method for fabricating a semiconductor device, interconnect grooves are formed in an insulating film on a substrate, and then a copper film is formed on the insulating film to fill the interconnect grooves. Subsequently, portions of the copper film existing outside the interconnect grooves are polished to form interconnects, and then a cleaning process is performed on the resulting substrate. Thereafter, moisture remaining around a portion of the insulating film exposed between the interconnects is removed in a vacuum.
    Type: Grant
    Filed: February 22, 2005
    Date of Patent: April 20, 2010
    Assignee: Panasonic Corporation
    Inventors: Hideki Otsuka, Norishige Aoki, Shinichi Imai
  • Patent number: 7696085
    Abstract: A recessed region containing a line portion and a bulge portion is formed in a hard mask layer. Self-assembling block copolymers containing two or more different polymeric block components that are immiscible with one another are applied within the recessed region and annealed. A cylindrical polymeric block centered at the bulge portion is removed selective to a polymeric block matrix surrounding the cylindrical polymeric block. A via cavity is formed by transferring the cavity formed by removal of the cylindrical polymeric block into a dielectric layer. The pattern in the hard mask layer is subsequently transferred into the dielectric layer to form a line cavity. A metal via and a metal line are formed by deposition and planarization of metal. The metal via is self-aligned to the metal line.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: April 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: Wai-kin Li, Haining S. Yang
  • Patent number: 7678684
    Abstract: Interconnections are formed over an interlayer insulating film which covers MISFETQ1 formed on the principal surface of a semiconductor substrate, while dummy interconnections are disposed in a region spaced from such interconnections. Dummy interconnections are disposed also in a scribing area. Dummy interconnections are not formed at the peripheries of a bonding pad and a marker. In addition, a gate electrode of a MISFET and a dummy gate interconnection formed of the same layer are disposed. Furthermore, dummy regions are disposed in a shallow trench element-isolation region. After such dummy members are disposed, an insulating film is planarized by the CMP method.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: March 16, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Yasushi Koubuchi, Koichi Nagasawa, Masahiro Moniwa, Youhei Yamada, Toshifumi Takeda
  • Patent number: 7670915
    Abstract: A method of forming an integrated circuit with a semiconductor substrate is provided. A gate dielectric is formed on the semiconductor substrate, and a gate is formed on the gate dielectric. Source/drain junctions are formed in the semiconductor substrate. A silicide is formed on the source/drain junctions and on the gate. An interlayer dielectric having contact holes therein is formed above the semiconductor substrate. Contact liners are formed in the contact holes, and contacts are then formed over the contact liners. The contact liners are nitrides of the contact material, and formed at a temperature below the thermal budget for the silicide.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: March 2, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Errol Todd Ryan, Paul R. Besser, Simon Siu-Sing Chan, Robert J. Chiu, Mehrdad Mahanpour, Minh Van Ngo
  • Patent number: 7662711
    Abstract: A method of forming a dual damascene pattern for a metal interconnection by a relatively simple process. Only a portion of an interlayer insulating film is initially etched when forming a via hole. When the interlayer insulating is etched to form a trench, the remaining portion of the via hole may be etched simultaneously.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: February 16, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventors: Sang-Il Hwang, Hyun Ju Lim
  • Patent number: 7662672
    Abstract: A manufacturing process of a leadframe-based BGA package is disclosed. A leadless leadframe with an upper layer and a lower layer is provided for the package. The upper layer includes a plurality of ball pads, and the lower layer includes a plurality of sacrificial pads aligning and connecting with the ball pads. A plurality of leads are formed in either the upper layer or the lower layer to interconnect the ball pads or the sacrificial pads. An encapsulant is formed to embed the ball pads after chip attachment and electrical connections. During manufacturing process, a half-etching process is performed after encapsulation to remove the sacrificial pads to make the ball pads electrically isolated and exposed from the encapsulant for solder ball placement where the soldering areas of the ball pads are defined without the need of solder mask(s) to solve the problem of solder bleeding of the solder balls on the leads or the undesired spots during reflow. Moreover, mold flash can easily be detected and removed.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: February 16, 2010
    Assignees: ChipMos Technologies (Bermuda) Ltd., ChipMos Technologies Inc.
    Inventor: Hung-Tsun Lin
  • Patent number: 7663240
    Abstract: Mechanical strength and moisture resistance of a multilayer interconnect structure is to be improved. A semiconductor device includes a circuit region and a seal ring region formed around the circuit region, on a semiconductor substrate. The seal ring region includes a plurality of interconnect layers including interconnect lines and a plurality of via layers including a plurality of slit vias stacked on one another, and a pitch between the slit vias in at least one of the via layers (lower or middle layer) is different from a pitch between the slit vias in other via layers (upper layer).
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: February 16, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Masayuki Hiroi
  • Patent number: 7655556
    Abstract: A cap layer for a copper interconnect structure formed in a first dielectric layer is provided. In an embodiment, the cap layer may be formed by an in-situ deposition process in which a process gas comprising germanium, arsenic, tungsten, or gallium is introduced, thereby forming a copper-metal cap layer. In another embodiment, a copper-metal silicide cap is provided. In this embodiment, silane is introduced before, during, or after a process gas is introduced, the process gas comprising germanium, arsenic, tungsten, or gallium. Thereafter, an optional etch stop layer may be formed, and a second dielectric layer may be formed over the etch stop layer or the first dielectric layer.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: February 2, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Hung Chun Tsai, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 7655539
    Abstract: Semiconductor device processing and methods for dicing a semiconductor wafer into a plurality of individual dies that can have back surface metallization are described. The methods comprise providing a wafer with pre-diced streets in the wafer's front surface, applying a sidewall masking mechanism to the front surface of the wafer so as to substantially fill the pre-diced streets, thinning the back surface of the wafer so as to dice the wafer (e.g., by grinding, etching, or both) and expose a portion of the sidewall masking mechanism from the back surface of the wafer, and applying a material, such as metal, to the back surface of the diced wafer. These methods can prevent the metal from being deposited on die sidewalls and may allow the separation of individual dies without causing the metal to peel from the back surface of one or more adjacent dies. Other embodiments are also described.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: February 2, 2010
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Craig Hendricks, Eric Woolsey, Jim Murphy
  • Publication number: 20100013104
    Abstract: An integrated circuit processing system is provided including a substrate having an integrated circuit; an interconnect layer over the integrated circuit; a low-K dielectric layer over the interconnect layer; a hard mask layer over the low-K dielectric layer; a via opening through the hard mask layer and the low-K dielectric layer to the interconnect layer; and an interconnect metal in the via opening.
    Type: Application
    Filed: September 25, 2009
    Publication date: January 21, 2010
    Applicants: CHARTERED SEMICONDUCTOR MANUFACTURING LTD., INFINEON TECHNOLOGIES NORTH AMERICA CORP., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Wuping Liu, Michael Beck, John A. Fitzsimmons
  • Patent number: 7648898
    Abstract: A method for fabricating a semiconductor device comprises depositing a first layer of oxide on at least a portion of a channel of a transistor. The method further comprises depositing a layer of nitride on the first layer of oxide and etching at least a portion of the layer of nitride to the first layer of oxide. The method further comprises depositing a second layer of oxide and planarizing the oxide to expose at least a portion of the layer of nitride. The method further comprises stripping at least a portion of the layer of nitride to create one or more notches and removing at least a portion of the first layer of oxide. The method further comprises depositing a layer of polysilicon, wherein at least a portion of the layer of polysilicon is deposited into at least one of the one or more notches.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: January 19, 2010
    Assignee: DSM Solutions, Inc.
    Inventor: Srinivasa R. Banna
  • Patent number: 7638434
    Abstract: Method for filling a trench in a semiconductor product is disclosed. A first material is deposited onto a semiconductor product having a surface in which at least one trench is formed. A first layer is formed within the trench and on the surface of the semiconductor product outside the trench. A second material is deposited to form a second layer above the first layer outside the trench and the trench is filled. Chemical mechanical polishing is performed so that the second layer is removed above the first layer outside the trench and whereby the first layer is at least uncovered outside the trench. Residual first material of the first layer is removed by wet-chemical etching.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: December 29, 2009
    Assignee: Infineon Technologies AG
    Inventor: Johann Helneder
  • Patent number: 7638430
    Abstract: The present invention relates to a method of forming contact plugs of a semiconductor device. According to the method, a first insulating layer is formed over a semiconductor substrate in which a cell region and a peri region are defined and a first contact plug is formed in the peri region. The first insulating layer is etched using an etch process, thus forming contact holes through which junctions are exposed in the cell region and the first contact plug is exposed in the peri region. Second contact plugs are formed in the contact holes. The second contact plug formed within the contact hole of the peri region are removed using an etch process. A spacer is formed on sidewalls of the contact holes. Third contact plugs are formed within the contact holes.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: December 29, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Heon Kim
  • Patent number: 7635644
    Abstract: Disclosed are a method for forming a metal interconnection and a semiconductor device including the metal interconnection. The method includes the steps of forming a slope by etching a corner of a contact hole, which exposes a predetermined pattern formed on a substrate, forming a barrier metal layer on an interlayer dielectric layer, plasma-treating the barrier metal layer with hydrogen and nitrogen gases for about 27 to 37 seconds, heat-treating the substrate in a nitrogen atmosphere, forming a tungsten layer on the barrier metal layer through a two-step nucleation process and bulk deposition process, and performing a chemical mechanical polishing process on the tungsten layer until the interlayer dielectric layer is exposed. The method and the semiconductor device prevent defects of the metal interconnection, such as a volcano defect caused by fluorine penetration.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: December 22, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Ka Moon Seok
  • Publication number: 20090311829
    Abstract: An integrated circuit structure includes a bottom semiconductor chip; a top die bonded onto the bottom semiconductor chip; a protecting material encircling the bottom die and on the bottom semiconductor chip; and a planar dielectric layer over the top die and the protecting material. The protecting material has a top surface leveled with a top surface of the top die.
    Type: Application
    Filed: June 17, 2008
    Publication date: December 17, 2009
    Inventors: Ku-Feng Yang, Wen-Chih Chiou, Weng-Jin Wu, Ming-Chung Sung
  • Patent number: 7625816
    Abstract: Embodiments relate to a passivation fabricating method. In the passivation fabricating method according to embodiments, a first oxide film may be formed by repeating deposition and etching of an oxide film on a silicon substrate in which an upper metal pad may be formed and a second oxide film may be formed by performing only deposition on the first oxide film. A thickness of the first oxide film may be set to be above 5 k?. A first passivation layer may be formed by planarizing the first and second oxide films. In the planarizing process, a thickness of the first passivation layer may be 4 k?. A second passivation layer of a nitride film may be formed on the first passivation layer and the first and second passivations may be selectively etched so as to expose the upper metal pad.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: December 1, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Yong Keon Choi
  • Patent number: 7601641
    Abstract: Methods are provided for etching during fabrication of a semiconductor device. The method includes initially etching to partially remove a portion of one or more lithographic-aiding layers overlying an oxide layer while etching a first portion of the oxide layer in accordance with a mask formed by the one or more lithographic-aiding layers, and thereafter additionally etching to remove remaining portions of the one or more lithographic-aiding layers while etching a remaining portion of the oxide layer.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: October 13, 2009
    Assignee: Global Foundries, Inc.
    Inventors: Erik Geiss, Christopher Prindle, Sven Beyer
  • Patent number: 7585760
    Abstract: Methods of fabricating an interconnect, which fundamentally comprises forming a second conductive film (e.g., aluminum) over first conductive film (e.g., copper) deposited in an opening formed in a dielectric layer (e.g., low-k dielectric). The second conductive film has an ability to reflow to form a planar surface upon a thermal treatment process. Electropolishing is then used to planarize the second and first conductive films, wherein an electrolyte solution selective to remove the first conductive film faster than the second conductive film is used. An interconnect is formed.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: September 8, 2009
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andryushchenko, Anne E. Miller
  • Patent number: 7585761
    Abstract: It is an object of the present invention to suppress an influence of voltage drop due to wiring resistance to make an image quality of a display device uniform. In addition, it is also an object of the present invention to suppress delay due to a wiring for electrically connecting a driving circuit portion to an input/output terminal to improve an operation speed in the driving circuit portion. In the present invention, a wiring including copper for realizing lowered wiring resistance, subjected to microfabrication, is used as a wiring used for a semiconductor device and a barrier conductive film for preventing diffusion of copper is provided for a TFT as a part of the wiring including copper to form the wiring including copper without diffusion of copper into a semiconductor layer of the TFT. The wiring including copper is a wiring including a laminate film of at least a conductive film containing copper as its main component, subjected to microfabricaiton, and the barrier conductive film.
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: September 8, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Mitsuaki Osame
  • Patent number: 7585770
    Abstract: In a method of forming carbon nanotubes (CNTs) and a method of manufacturing a field emission display (FED) device using the CNTs, the method includes preparing a substrate on which a silicon layer is formed, sequentially forming a buffer layer and a catalyst metal layer on the silicon layer, partly forming metal silicide domains by diffusion between the silicon layer, the buffer layer and the catalyst metal layer by annealing the substrate, and growing CNTs on a surface of the catalyst metal layer.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: September 8, 2009
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Young-Jun Park, Ha-Jin Kim
  • Patent number: 7582556
    Abstract: A circuit structure includes a semiconductor substrate, first and second metallic posts over the semiconductor substrate, an insulating layer over the semiconductor substrate and covering the first and second metallic posts, first and second bumps over the first and second metallic posts or over the insulating layer. The first and second metallic posts have a height of between 20 and 300 microns, with the ratio of the maximum horizontal dimension thereof to the height thereof being less than 4. The distance between the center of the first bump and the center of the second bump is between 10 and 250 microns.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: September 1, 2009
    Assignee: MEGICA Corporation
    Inventors: Mou-Shiung Lin, Chien-Kang Chou, Ke-Hung Chen
  • Patent number: 7579270
    Abstract: It is an object of the present invention to provide a method for manufacturing a highly reliable semiconductor device with preferable yield. In the invention, two-step etching is performed when selectively removing an interlayer insulating film with at least two layers constituting a semiconductor device, and forming an opening. One feature of the invention is that at least either one of a first gas (a first etching gas) and a second gas (a second etching gas) used at the time of the two-step etching is added with an inert gas.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: August 25, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tomohiko Sato, Shigeharu Monoe, Shinya Sasagawa
  • Patent number: 7572710
    Abstract: The invention comprises methods of forming a conductive contact to a source/drain region of a field effect transistor, and methods of forming local interconnects. In one implementation, a method of forming a conductive contact to a source/drain region of a field effect transistor includes providing gate dielectric material intermediate a transistor gate and a channel region of a field effect transistor. At least some of the gate dielectric material extends to be received over at least one source/drain region of the field effect transistor. The gate dielectric material received over the one source/drain region is exposed to conditions effective to change it from being electrically insulative to being electrically conductive and in conductive contact with the one source/drain region. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: August 11, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Gurtej S. Sandhu, H. Montgomery Manning
  • Patent number: 7566971
    Abstract: The invention provides a technology for manufacturing a higher performance and higher reliability semiconductor device at low cost and with high yield. The semiconductor device of the invention has a first conductive layer over a first insulating layer; a second insulating layer over the first conductive layer, which includes an opening extending to the first conductive layer; and a signal wiring layer for electrically connecting an integrated circuit portion to an antenna and a second conductive layer adjacent to the signal wiring layer, which are formed over the second insulating layer. The second conductive layer is in contact with the first conductive layer through the opening, and the first conductive layer overlaps the signal wiring layer with the second insulating layer interposed therebetween.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: July 28, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Takanori Matsuzaki
  • Patent number: 7566652
    Abstract: A semiconductor device 300 includes a metal line 304 formed in a first dielectric layer 302. A capping layer 306 is formed the metal line 304. A second dielectric layer 308 is formed over the first dielectric layer 302 and the metal line 304. A first via 310 is formed in the second dielectric layer 308 and in contact with the metal line 304. A second via 312 is formed in the second dielectric layer 308 and in contact with the metal line 304, and is positioned a distance away from the first via 310. An electrically isolated via 326 is formed in the second dielectric layer 308 and in contact with the metal line 304 and in between the first via 310 and the second via 312. A third dielectric layer 314 is formed over the second dielectric layer 308. First and second trenches 316, 318 are formed in the third dielectric layer 314 and in contact with the first via 310 and the second via 312, respectively. An isolated trench 328 is formed in the third dielectric layer and in contact with the isolated via 326.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: July 28, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Ki-Don Lee, Young-Joon Park, Ennis Takashi Ogawa
  • Publication number: 20090184421
    Abstract: A semiconductor device is provided, which includes a substrate, an insulator film formed over the substrate, and plural metal wirings with different widths containing copper as a main component and an impurity which is different from copper. The plural metal wirings includes a first metal wiring having a concentration profile where the concentration of the impurity metal increases from the center part of the stacking direction to the surface and the second metal wiring having a concentration profile where the concentration of the impurity metal decreases from the bottom surface of the stacking direction to the surface. Moreover, the width of the second metal wiring may be larger than the width of the first metal wiring.
    Type: Application
    Filed: January 8, 2009
    Publication date: July 23, 2009
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Daisuke Oshida, Toshiyuki Takewaki, Shinji Yokogawa
  • Patent number: 7560378
    Abstract: A diffusion barrier film, a second insulating film, and a cap film are sequentially laminated on a first insulating film over a substrate. A wiring trench portion is formed extending therethrough to the first insulating film, assuming that the ratio of a width of the wiring trench portion in a direction orthogonal to its extending direction to a height of the wiring trench portion is 2.8 times even at a maximum. A barrier metal film is formed to cover the cap film and the wiring trench portion. A wiring film is deposited to cover the barrier metal film. The wiring film and the barrier metal film are chipped away until the surface of the cap film is exposed from the surface of the wiring film, thereby to form a wiring portion which buries the wiring trench portion.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: July 14, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Shunichi Tokitoh
  • Patent number: 7557031
    Abstract: A method for manufacturing an LCOS device includes forming an interlayer dielectric layer overlying a surface region of a substrate. The interlayer dielectric layer is patterned to form a plurality of recessed regions. Each of the recessed regions corresponds to a pixel element for a LCOS device and is isolated by a portion of dielectric material defining a border for each of the recessed regions. An aluminum material or aluminum alloy material is deposited within each of the recessed regions. A photomask is formed overlying the aluminum material and patterned to expose the recessed regions while protecting the border regions. Exposed regions of the aluminum material is removed while the border regions with the photomask is protected. The method continues the removing until the aluminum material has been removed to a vicinity of an upper region of the border regions. The patterned photomask is stripped to expose protruding aluminum material.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: July 7, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Chris C. Yu
  • Patent number: 7557030
    Abstract: A method for fabricating a recess gate in a semiconductor device is provided. The method includes selectively etching an active region of a substrate to form a recess pattern, performing a post treatment on the recess pattern using a plasma, and forming a gate pattern in the recess pattern.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: July 7, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung-Bum Kim, Ki-Won Nam
  • Patent number: 7554199
    Abstract: The CMP technology is provided for a damascene wiring structure having a plural-layer wiring that is excellent in flatness and resolvability of Cu residue. An evaluation substrate is provided for evaluating the condition of a CMP that is employed for configuring a semiconductor device having a plurality of wirings in a vertical direction, and the evaluation substrate comprises: a substrate; a first groove formed on the substrate; a second groove formed on the substrate; and wiring material provided in the first groove and the second groove, wherein a depth of the second groove is shallower than that of the first groove.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: June 30, 2009
    Assignee: Consortium for Advanced Semiconductor Materials and Related Technologies
    Inventors: Takenori Narita, Masaki Ito, Kenji Sameshima
  • Patent number: RE41697
    Abstract: A method of forming a planarized photoresist coating on a substrate having holes with different duty ratios is described. A first photoresist preferably comprised of a Novolac resin and a diazonaphthoquinone photoactive compound is coated on a substrate and baked at or slightly above its Tg so that it reflows and fills the holes. The photoresist is exposed without a mask at a dose that allows the developer to thin the photoresist to a recessed depth within the holes. After the photoresist is hardened with a 250° C. bake, a second photoresist is coated on the substrate to form a planarized film with a thickness variation of less than 50 Angstroms between low and high duty ratio hole regions. One application is where the second photoresist is used to form a trench pattern in a via first dual damascene method. Secondly, the method is useful in fabricating MIM capacitors.
    Type: Grant
    Filed: September 26, 2005
    Date of Patent: September 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chia-Tung Ho, Feng-Jia Shih, Jieh-Jang Chen, Ching-Sen Kuo, Shih-Chi Fu, Gwo-Yuh Shiau, Chia-Shiung Tsai
  • Patent number: RE41842
    Abstract: Methods of forming electrical interconnects include the steps of forming a first electrically conductive layer on a semiconductor substrate and then forming a first electrically insulating layer on the first electrically conductive layer. A second electrically insulating layer is then formed on the first electrically insulating layer. The second electrically insulating layer is then etched to expose the first electrically insulating layer and then a third electrically insulating layer is formed on the first electrically insulating layer. The first and third electrically insulating layers are then etched to define a contact hole therein which exposes a portion of the first electrically conductive layer. A barrier metal layer is then formed. The barrier metal layer is preferably formed to extend on the third electrically insulating layer and on the exposed portion of the first electrically conductive layer.
    Type: Grant
    Filed: July 26, 2006
    Date of Patent: October 19, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: In-Kwon Jeong