Utilizing Etch-stop Layer Patents (Class 438/634)
  • Patent number: 6962869
    Abstract: A method of protecting a low k dielectric layer that is preferably comprised of a material containing Si, O, C, and H is described. The dielectric layer is subjected to a gas plasma that is generated from a CXHY gas which is preferably ethylene. Optionally, hydrogen may be added to the CXHY gas. Another alternative is a two step plasma process involving a first plasma treatment of CXHY or CXHY combined with H2 and a second plasma treatment with H2. The modified dielectric layer provides improved adhesion to anti-reflective layers and to a barrier metal layer in a damascene process. The modified dielectric layer also has a low CMP rate that prevents scratch defects and an oxide recess from occurring next to the metal layer on the surface of the damascene stack. The plasma treatments are preferably done in the same chamber in which the dielectric layer is deposited.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: November 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tien-I Bao, Hsin-Hsien Lu, Lih-Ping Li, Chung-Chi Ko, Aaron Song, Syun-Ming Jang
  • Patent number: 6960520
    Abstract: A method for forming metal lines in a semiconductor device is disclosed. An example method forms first metal lines and a first insulation layer on the first metal lines, etches the first insulation layer to expose the first metal lines, and deposits a conductive material into the etched portion of the first insulation layer to form contact plugs. The example method also forms a second insulation layer on the resultant structure, etches the second insulation layer to expose the contact plugs, deposits a material for cores into the etched portions of the second insulation layer to form the cores, and selectively removes the second insulation layer to expose the cores. In addition, the example method deposits second metal lines on both sides of the cores to branch current to both sides of the cores.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: November 1, 2005
    Assignee: DongbuAnam Semiconductor, Inc.
    Inventor: Cheolsoo Park
  • Patent number: 6958289
    Abstract: The present invention discloses a method for forming a metal line in a semiconductor device including the steps of: sequentially forming a first insulation film, an etch barrier film and a second insulation film on a semiconductor substrate on which the substructure has been formed; forming a plurality of via holes for exposing the substructure in different points by patterning the second insulation film, the etch barrier film and the first insulation film of the resulting structure, and forming a plurality of trench patterns respectively on the plurality of via holes by re-patterning the second insulation film and the etch barrier film of the resulting structure; forming a plurality of vias and trenches by filling a metal material in the plurality of via holes and trench patterns; removing the second insulation film; and forming a third insulation film over the resulting structure including the removed second insulation film.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: October 25, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae Kyung Kim
  • Patent number: 6953745
    Abstract: A metal interconnection structure includes a lower metal interconnection layer disposed in a first inter-layer dielectric layer. An inter-metal dielectric layer having a via contact hole that exposes a portion of surface of the lower metal layer pattern is disposed on the first inter-layer dielectric layer and the lower metal layer pattern. A second inter-layer dielectric layer having a trench that exposes the via contact hole is formed on the inter-metal dielectric layer. A barrier metal layer is formed on a vertical surface of the via contact and the exposed surface of the second lower metal interconnection layer pattern. A first upper metal interconnection layer pattern is disposed on the barrier metal layer, thereby filling the via contact hole and a portion of the trench. A void diffusion barrier layer is disposed on the first metal interconnection layer pattern and a second upper metal interconnection layer pattern is disposed on the void diffusion barrier layer to completely fill the trench.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: October 11, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-hoon Ahn, Hyo-jong Lee, Kyung-tae Lee, Kyoung-woo Lee, Soo-geun Lee, Bong-seok Suh
  • Patent number: 6933190
    Abstract: A method of manufacturing a semiconductor device, includes the steps of: (a) forming a first inter-level insulating film on a semiconductor substrate formed with semiconductor elements; (b) forming a contact hole through the first inter-level insulating film; (c) forming a plug made of conductive material capable of being nitrided, the plug being embedded in the contact hole; and (d) heating the semiconductor substrate in a nitriding atmosphere to nitride the plug from a surface thereof. This semiconductor device manufacture method can prevent breakdown of a plug when a capacitor is formed on the plug.
    Type: Grant
    Filed: January 22, 2001
    Date of Patent: August 23, 2005
    Assignee: Fujitsu Limited
    Inventor: Toshiya Suzuki
  • Patent number: 6930036
    Abstract: A method for manufacturing a multi-level interconnection structure in a semiconductor device includes the steps of consecutively forming an anti-diffusion film and an interlevel dielectric film on a first level Cu layer, forming first through third hard mask films on the interlevel dielectric film, etching the interlevel dielectric film by using the first hard mask to form first through-holes, etching the first and second hard mask films and a top portion of the interlevel dielectric film by using the third hard mask film to form trenches, and etching the anti-diffusion film to form through-holes. The first hard mask film protects the interlevel dielectric film during removal of the second and third hard mask films.
    Type: Grant
    Filed: October 28, 2003
    Date of Patent: August 16, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 6930052
    Abstract: In order to fabricate a metallization plane with lines and contacts, four dielectric layers are applied to a substrate. Firstly, contact holes are etched through the top two dielectric layers into the underlying dielectric layer, the remaining thickness of the latter layer being essentially equal to the thickness of the top layer. Line trenches are subsequently etched selectively with respect to the first dielectric layer and the third dielectric layer, whose surfaces are uncovered essentially simultaneously. After the first dielectric layer and the third dielectric layer have been patterned, contacts and lines are produced in the contact holes and line trenches.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: August 16, 2005
    Assignee: Infineon Technologies AG
    Inventors: Siegfried Schwarzl, Manfred Engelhardt, Franz Kreupl
  • Patent number: 6930001
    Abstract: Disclosed is a method for manufacturing a NAND flash device. After a source line plug hole is formed, a drain contact plug hole is formed. The holes are filled with a conductive material film and are then polished. It is therefore possible to simplify the process since a blanket etch process step is omitted. Moreover, loss of a drain contact plug by the blanket etch process is prevented. It is therefore possible to improve the electrical properties of a device and reduce the manufacturing cost price.
    Type: Grant
    Filed: June 24, 2004
    Date of Patent: August 16, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Chul Gil
  • Patent number: 6927161
    Abstract: A low-k dielectric layer stack is provided including a silicon based dielectric material with a low permittivity, wherein an intermediate silicon oxide based etch indicator layer is arranged at a depth that represents the depth of a trench to be formed in the dielectric layer stack. A thickness of the etch indicator layer is sufficiently small to not unduly compromise the overall permittivity of the dielectric layer stack. On the other hand, the etch indicator layer provides a prominent optical emission spectrum to reliably determine the time point when the etch process has reached the etch indicator layer. Thus, the depth of trenches in highly sophisticated low-k dielectric layer stacks may reliably be adjusted to minimize resistance variations of the metal lines.
    Type: Grant
    Filed: April 22, 2003
    Date of Patent: August 9, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Hartmut Ruelke, Christof Streck, Georg Sulzer
  • Patent number: 6913993
    Abstract: A chemical-mechanical polishing process for forming a metallic interconnect includes the steps of providing a semiconductor substrate having a first metallic line thereon, and then forming a dielectric layer over the substrate and the first metallic line. Next, a chemical-mechanical polishing method is used to polish the surface of the dielectric layer. Thereafter, a thin cap layer is formed over the polished dielectric layer. The thin cap layer having a thickness of between 1000-3000 ? can be, for example, a silicon dioxide layer, a phosphosilicate glass layer or a silicon-rich oxide layer. The method of forming the cap layer includes depositing silicon oxide using a chemical vapor deposition method with silicane (SiH4) or tetra-ethyl-ortho-silicate (TEOS) as the main reactive agent. Alternatively, the cap layer can be formed by depositing silicon nitride using a chemical vapor deposition method with silicane or silicon dichlorohydride (SiH2Cl2) as the main reactive agent.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: July 5, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Lin Wu, Meng-Jin Tsai
  • Patent number: 6911389
    Abstract: Methods are disclosed for forming vias, trenches, and interconnects through diffusion barrier, etch-stop, and dielectric materials for interconnection of electrical devices in dual damascene structures of a semiconductor device. A buried via mask at the etch-stop level provides openings with two or more adjacent via misalignment error regions merged into rectangular windows aligned orthogonal to a long axis of the underlying conductive features of a first metal level. The rectangular windows used together with openings in a hard mask form via portions, and the openings in the hard mask provide trench portions. Via and trench portions coincide during trench or via etch, as well as during hard mask or etch-stop layer etch together forming an interconnect cavity, which may then be filled with a conductive material to provide a conductive interconnect between the conductive feature of the first metal level and a second metal level.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: June 28, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Kenneth D. Brennan, Paul Gillespie
  • Patent number: 6898851
    Abstract: It is an object to provide a semiconductor device having a buried multilayer wiring structure in which generation of a resolution defect of a resist pattern is suppressed and generation of a defective wiring caused by the resolution defect is reduced. After a via hole (7) reaching an etching stopper film (4) is formed, annealing is carried out at 300 to 400° C. with the via hole (7) opened. As an annealing method, it is possible to use both a method using a hot plate and a method using a heat treating furnace. In order to suppress an influence on a lower wiring (20) which has been manufactured, heating is carried out for a short time of approximately 5 to 10 minutes by using the hot plate.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: May 31, 2005
    Assignees: Renesas Technology Corp., Matsushita Electric Industrial Co., Ltd.
    Inventors: Yasutaka Nishioka, Junjiro Sakai, Shingo Tomohisa, Susumu Matsumoto, Fumio Iwamoto, Michinari Yamanaka
  • Patent number: 6893958
    Abstract: The present invention prevents cross-linking between multiple resists that are used in the fabrication of a semiconductor device. In order to prevent resists in close proximity or contact with one another from cross-linking, a non-reactive separation layer is disposed between the resists. The separation layer prevents incompatible components of the resists from reacting with one another. Forming the separation layer between the resists allows a resist located above the separation layer to be polymerized and patterned as desired without patterning another resist located below the separation layer. Methods of patterning multiple resists are also disclosed.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: May 17, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Belford T. Coursey, Brent D. Gilgen
  • Patent number: 6890850
    Abstract: Methods are provided for depositing an oxygen-doped dielectric layer. The oxygen-doped dielectric layer may be used for a barrier layer or a hardmask. In one aspect, a method is provided for processing a substrate including positioning the substrate in a processing chamber, introducing a processing gas comprising an oxygen-containing organosilicon compound, carbon dioxide, or combinations thereof, and an oxygen-free organosilicon compound to the processing chamber, and reacting the processing gas to deposit an oxygen-doped dielectric material on the substrate, wherein the dielectric material has an oxygen content of about 15 atomic percent or less. The oxygen-doped dielectric material may be used as a barrier layer in damascene or dual damascene applications.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: May 10, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Ju-Hyung Lee, Ping Xu, Shankar Venkataraman, Li-Qun Xia, Fei Han, Ellie Yieh, Srinivas D. Nemani, Kangsub Yim, Farhad K. Moghadam, Ashok K. Sinha, Yi Zheng
  • Patent number: 6884713
    Abstract: Methods for forming metal line of semiconductor device wherein via contact plug is formed without the deposition process of Ti/TiN liner layer and conductive layer filling a via contact hole so that the formation processes of a conductive layer for lower metal line and a conductive layer for via contact plug can be performed successively without interruption is disclosed.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: April 26, 2005
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Won Hwa Jin
  • Patent number: 6878620
    Abstract: Methods and apparatus for protecting the dielectric layer sidewalls of openings, such as vias and trenches, in semiconductor substrates are provided. A pre-liner and a liner are deposited over the sidewalls of the openings as part of integrated processing sequences that either do not remove the photoresist until subsequent processing or remove the photoresist with a plasma etch that does not contaminate the sidewalls of the openings.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: April 12, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Son Van Nguyen, Li-Qun Xia, Srinivas D. Nemani
  • Patent number: 6878616
    Abstract: A low-k dielectric for use as an interlayer for an interconnect structure is provided. The dielectric of the present invention is an alkaline boron silicate glass which when formulated in certain compositional ranges can undergo spinodal decomposition when processed using certain thermal profiles. Spinodal decomposition is a chemical and physical separation of the silicate glass into a distinct interpenetrating microstructure which contains a substantially pure silicon dioxide network and a boron-rich network. The dimension (i.e., scale), and the amount of separation can be controlled through compositional and thermal control during the processing of the silicate glass.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: April 12, 2005
    Assignee: International Business Machines Corporation
    Inventors: Jon A. Casey, Daniel C. Edelstein
  • Patent number: 6878617
    Abstract: Disclosed is a method of forming a copper wire on a semiconductor device capable of preventing the natural oxidation of copper. The method comprises the steps of: forming an insulation film pattern having vias and trenches on a semiconductor substrate; forming a copper wire by filling up the vias and the trenches with copper; successively forming a capping layer and a protective layer on the copper wire and the insulation film pattern; exposing the copper wire by selectively removing the capping layer and the protective layer; and forming an oxidation-prevention layer on the copper wire. According to the present invention, the natural oxidation of copper is avoided by selectively depositing aluminum on a copper wire pad, and therefore a dependable evaluation is possible from tests of reliability in a high temperature. Furthermore, since aluminum has a lower contact resistance compared with copper, dependable test results are obtained during tests of electrical characteristics.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: April 12, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Byung Zu Lee, Hyun Yong Kim
  • Patent number: 6878612
    Abstract: A semiconductor device manufacturing method that assures required size of flat areas at a wiring overlay nitride film, and forms an SAC structure wherein selectivity is not lowered at corners. A first etching process wherein an insulating film is etched under conditions for forming a vertical opening (vertical conditions) is used to open up the insulating film to a point near the wiring overlay nitride film 105. A second etching process is used wherein the insulating film is opened until the wiring overlay nitride film becomes exposed, by etching under conditions assuring a high ratio of selectivity relative to the wiring overlay nitride film (SAC conditions). Then, a third etching process is used wherein the insulating film located between first and second electrodes is removed by etching under conditions with a low ratio of selectivity relative to the wiring overlay nitride film (SAC conditions).
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: April 12, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Takeshi Nagao, Atsushi Yabata
  • Patent number: 6875685
    Abstract: A method for forming a gas dielectric with support structure on a semiconductor device structure provides low capacitance and adequate support for a conductor of the semiconductor device structure. A conductive structure, such as via or interconnect, is formed in a wing-layer dielectric. A support is then formed that connects to the conductive structure, the support including an area thereunder. The wiring-layer dielectric is then removed from the area to form a gas dielectric.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: April 5, 2005
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, David V. Horak, Charles W. Koburger, III, Peter H. Mitchell, Larry A. Nesbit, James A. Slinkman
  • Patent number: 6867125
    Abstract: An embodiment of the present invention includes a method to form an air gap in a multi-layer structure. A dual damascene structure is formed on a substrate. The dual damascene structure has a metallization layer, a barrier layer, a sacrificial layer, and a hard mask layer. The sacrificial layer is made of a first sacrificial material having substantial thermal stability and decomposable by an electron beam. The sacrificial layer is removed by the electron beam to create the air gap between the barrier layer and the hard mask layer.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: March 15, 2005
    Assignee: Intel Corporation
    Inventors: Grant Kloster, Jihperng Leu, Hyun-Mog Park
  • Patent number: 6858525
    Abstract: A method is provided for forming stacked local interconnects that do not extend into higher levels within a multilevel IC device, thereby economizing space available within the IC device and increasing design flexibility. In a first embodiment, the method of the present invention provides a stacked local interconnect which electrically connects a first group of interconnected electrical features with one or more additional isolated groups of interconnected electrical features or one or more isolated individual electrical features. In a second embodiment, the method of the present invention provides a stacked local interconnect which electrically connects an individual electrical feature to one or more additional isolated electrical features.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: February 22, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Jigish D. Trivedi
  • Patent number: 6846737
    Abstract: A low dielectric constant material having a first fluorine concentration in a near-surface portion and a second fluorine concentration in an interior portion provides an insulator suitable for use in integrated circuits. In a further aspect of the present invention, fluorine is depleted from a near-surface portion of a fluorine containing dielectric material by a reducing plasma. Fluorine in fluorinated low-k dielectric materials, such as SiOF, amorphous fluorinated carbon (a-F:C) and parylene-AF4, can react with surrounding materials such as metals and Si3N4, causing blisters and delamination. Treatment of these fluorinated low-k dielectric materials in a reducing plasma, which may be produced from precursor gases such as H2 or NH3, depletes the surface region of fluorine and hence reduces reaction with surrounding materials and F outgassing. By selecting an appropriate point in the integration flow, specific interfaces which are most susceptible to F-attack can be targeted for depletion.
    Type: Grant
    Filed: August 15, 2000
    Date of Patent: January 25, 2005
    Assignee: Intel Corporation
    Inventors: Steven Towle, Ebrahim Andideh, Lawrence D. Wong
  • Patent number: 6844255
    Abstract: The invention comprises methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry. In one implementation, a method of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry includes forming a conductive metal interconnect layer over a substrate. An insulating dielectric mass is provided about the conductive metal interconnect layer. The insulating dielectric mass has a first dielectric constant. At least a majority of the insulating dielectric mass is etched away from the substrate. After the etching, an interlevel dielectric layer is deposited to replace at least some of the etched insulating dielectric material. The interlevel dielectric layer has a second dielectric constant which is less than the first dielectric constant.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: January 18, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Terrence McDaniel, Max F. Hineman
  • Patent number: 6844257
    Abstract: An electrical interconnect structure on a substrate, includes a first porous dielectric layer with surface region from which a porogen has been removed; and an etch stop layer disposed upon the first porous dielectric layer so that the etch stop layer extends to partially fill pores in the surface region of the first porous dielectric layer from which the porogen has been removed, thus improving adhesion during subsequent processing. The porogen may be removed from the surface region by heating, and in particular by hot plate baking. A second porous dielectric layer, which may have the same composition as the first porous dielectric layer, may be formed over the etch stop layer. Electrical vias and lines may be formed in the first and second porous dielectric layer, respectively. The layers may be part of a multilayer stack, wherein all of the layers are cured simultaneously in a spin application tool porous dielectric layer.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: January 18, 2005
    Assignee: International Business Machines Corporation
    Inventors: Ann R Fornof, Jeffrey C Hedrick, Kang-Wook Lee, Christy S Tyberg
  • Patent number: 6841478
    Abstract: A multi layered copper bond pad for a semiconductor die which inhibits formation of copper oxide is disclosed. A small dose of titanium is implanted in the copper surface. The implanted titanium layer suppresses the copper oxide growth in the copper bond pad by controlling the concentration of vacancies available to the copper ion transport. An interconnect structure such as a wire bond or a solder ball may be attached to the copper-boron layer to connect the semiconductor die to a lead frame or circuit support structure. In another embodiment, a titanium-aluminum passivation layer for copper surfaces is also disclosed. The titanium-aluminum layer is annealed to form a titanium-aluminum-copper alloy. The anneal may be done in a nitrogen environment to form a titanium-aluminum-copper-nitrogen alloy.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: January 11, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Allen McTeer
  • Patent number: 6841467
    Abstract: A method for producing a semiconductor device comprises forming an opening by etching process using a resist pattern as a mask in a multi-layered film having a first organic insulating film, a first etching stop film and a second organic insulating film being layered in this order such that the opening penetrates from the first organic insulating film to the second organic insulating film, wherein a second etching stop film is formed between the resist pattern and the second organic insulating film to protect the second organic insulating film from being etched during the formation of the opening.
    Type: Grant
    Filed: April 6, 2001
    Date of Patent: January 11, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yushi Inoue
  • Patent number: 6838373
    Abstract: A method of forming a MOS transistor in an upper surface of a semiconductor substrate. A gate oxide layer covers the upper surface of the substrate. A gate stack comprising one or more thin film layers covers the gate oxide layer. A gate electrode pattern is partially etched into the gate stack, the partial etching step being completed before any of the gate oxide layer is exposed. Sidewall spacers are formed on edge surfaces of the partially formed gate electrode. Source and drain regions are created by ion implantation using the partially etched gate electrode and the sidewall spacers as a mask. The sidewall spacers are removed and lightly doped drain regions are formed by ion implantation using the partially etched gate electrode as a mask.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: January 4, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Jeffrey W. Honeycutt
  • Patent number: 6835652
    Abstract: A via hole 18 is opened in an interlayer insulating film 17, which covers a lower layer interconnect 12, a protective film 19 is embedded on the base portion of the via hole 18, and a soluble resin 20, which dissolves in a resist developing fluid under unexposed conditions, is further embedded thereupon. On this basis, a photoresist 21 is applied, and this photoresist 21 is subjected to an exposure and a development process so as to form a resist pattern 21a, which has an aperture window in a region including the via hole. Upon formation of an interconnective trench in the interlayer insulating film 17 utilizing the resist pattern 21a, a dual damascene structure is formed by embedding a metallic material into the vial hole and interconnective trench.
    Type: Grant
    Filed: April 8, 2003
    Date of Patent: December 28, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Masashi Fujimoto
  • Publication number: 20040256729
    Abstract: An interconnect structure for a semiconductor device includes a metallization line formed within a low-k dielectric material, the metallization line being surrounded on bottom and side surfaces thereof by a liner material. An embedded dielectric cap is formed over a top surface of the metallization line, wherein the embedded dielectric cap has a sufficient thickness so as to separate a top surface of the liner material from a hardmask layer formed over the low-k dielectric material.
    Type: Application
    Filed: June 19, 2003
    Publication date: December 23, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Birendra N. Agarwala, Du B. Nguyen, Hazara S. Rathore
  • Patent number: 6833300
    Abstract: Contacts are formed to integrated circuit devices by first forming a conductive layer (80) on a semiconductor device. An optional dielectric layer (130) is formed over the conductive layer and a carbon containing dielectric layer (140) is formed over the optional dielectric layer (130). Contacts are formed to the conductive layer (80) by etching openings in the carbon containing dielectric layer (140) and the optional dielectric layer (130).
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: December 21, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Leland S. Swanson, Gregory E. Howard
  • Patent number: 6833318
    Abstract: A gap-filling process is provided. A substrate having a dielectric layer thereon is provided. The dielectric layer has an opening therein. A gap-filling material layer is formed over the dielectric layer and inside the opening. A portion of the gap-filling material is removed from the gap-filling material layer to expose the dielectric layer. A gap-filling material treatment of the surface of the gap-filling material layer and the dielectric layer is carried out to planarize the gap-filling material layer so that a subsequently formed bottom anti-reflection coating or material layer over the gap-filling material layer can have a high degree of planarity.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: December 21, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Jen Weng, Juan-Yi Chen, Hong-Tsz Pan, Cedric Lee, Der-Yuan Wu, Jackson Lin, Yeong-Song Yen, Lawrence Lin, Ying-Chung Tseng
  • Publication number: 20040248401
    Abstract: A TaN film and a Cu film are deposited successively over an insulating film formed with trenches. Then, a first CMP process is performed by using a slurry having a polishing rate for Cu sufficiently higher than a polishing rate for TaN and containing an agent for forming a protective film for Cu in a sufficient amount. As a result, the upper surface of the portion of the Cu film located in each of the trenches is positioned flush with the upper surface of TaN. Then, a second CMP process is performed under such a condition that the polishing rate for Cu is equal to or higher than the polishing rate for TaN, thereby forming Cu wires. By properly changing conditions for the second CMP process in accordance with the level of the upper surface of the Cu film, the upper surface of the Cu film is positioned flush with or lower in level than the upper surface of the insulating film after the second CMP process so that the occurrence of defective wiring is reduced.
    Type: Application
    Filed: June 3, 2004
    Publication date: December 9, 2004
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Mitsunari Satake, Muneyuki Matsumoto
  • Patent number: 6825561
    Abstract: An interconnect structure for a semiconductor device includes a metallization line formed within a low-k dielectric material, the metallization line being surrounded on bottom and side surfaces thereof by a liner material. An embedded dielectric cap is formed over a top surface of the metallization line, wherein the embedded dielectric cap has a sufficient thickness so as to separate a top surface of the liner material from a hardmask layer formed over the low-k dielectric material.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: November 30, 2004
    Assignee: International Business Machines Corporation
    Inventors: Birendra N. Agarwala, Du B. Nguyen, Hazara S. Rathore
  • Patent number: 6818552
    Abstract: A method of forming a microelectronic device while preventing photoresist poisoning. Various layers of conductive metals and dielectric materials are deposited onto a substrate in selective sequence to form an integrated circuit. Vias and trenches are formed throughout the structure by exposing and patterning a photoresist material. The dielectric materials of the insulating layers are protected from the photoresist to prevent chemical reactions which lead to photoresist poisoning. This is done by forming a modified surface layer on the dielectric material by either depositing an additional layer that covers the dielectric material, or by modifying the exposed surface of the dielectric material to a plasma or chemical treatment.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: November 16, 2004
    Assignee: Honeywell International, Inc.
    Inventors: Brian J. Daniels, Jude A. Dunne, Joseph T. Kennedy
  • Patent number: 6815339
    Abstract: The present invention relates to a method of forming a copper metal line in a semiconductor device. A via plug and a copper metal line are independently formed using a single damascene process. A buffer film is formed between the via plug and the copper metal line. It is thus possible to prevent lowering in the yield of a via hole that occurs due to a thermal stress in a subsequent process and diffusion of Cu atoms. Therefore, the yield of the copper metal line can be improved.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: November 9, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kyeong Keun Choi
  • Patent number: 6815333
    Abstract: This invention relates to a method of dual damascene integration for manufacture of integrating circuits using three top hard mask layers having alternating etch selectivity characteristics.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: November 9, 2004
    Assignee: Dow Global Technologies Inc.
    Inventors: Paul H. Townsend, III, Lynne K. Mills, Joost J. M. Waeterloos, Richard J. Strittmatter
  • Patent number: 6815335
    Abstract: After an etching stop layer and an interlayer dielectric film are formed on a semiconductor substrate including a contact formation portion, a polysilicon film and a anti-reflective layer are successively formed on the interlayer dielectric film. A second mask pattern exposing the polysilicon film is formed after etching the anti-reflective layer exposed through a first mask pattern. A third mask pattern is formed by attaching polymer on a sidewall of the second mask pattern. A contact hole exposing the contact formation portion is formed by etching the polysilicon film and the interlayer dielectric film using the third mask pattern as an etching mask. A conductive material is filled in the contact hole to form the contact. By attaching the polymer to the second mask pattern, a contact hole with a minute size can be formed.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: November 9, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Seung Hwang, Sung-Un Kwean
  • Patent number: 6812130
    Abstract: A method for forming a dual damascene structure for a semiconductor device, in accordance with the present invention, includes providing conductive regions on a first layer, forming an interlevel dielectric layer over the first layer and forming an etch stop layer over the interlevel dielectric layer. The etch stop layer includes a polymer material having a dielectric constant of less than about 3.0. The etch stop layer is patterned to form a via pattern, and a trench dielectric layer is deposited on the etch stop layer and in holes of the via pattern. Trenches are formed in the trench dielectric layer by etching the trench layer in accordance with a trench pattern, and vias are formed in the interlevel dielectric layer by etching through the trenches using the etch stop layer to self-align the trenches to the vias and expose the conductive regions on the first layer.
    Type: Grant
    Filed: February 9, 2000
    Date of Patent: November 2, 2004
    Assignee: Infineon Technologies AG
    Inventor: Gabriela Brase
  • Patent number: 6812113
    Abstract: The device and process include the deposition of polycrystalline germanium in the interconnect spaces between conductive metal elements. The device and process further include the removal of the germanium in order to form air-filled interconnect spaces.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: November 2, 2004
    Assignee: STMicroelectronics SA
    Inventors: Jerome Alieu, Christophe Lair, Michel Haond
  • Patent number: 6812142
    Abstract: A VLSI contact formation process in which a nitride layer is used to stop a wet oxide etch. An anisotropic plasma etch is used to cut a substantially vertical contact hole through the nitride and underlying layers. Thus, the resulting contact hole has a “Y”-shaped profile.
    Type: Grant
    Filed: November 14, 2000
    Date of Patent: November 2, 2004
    Assignee: STMicroelectronics, Inc.
    Inventors: Loi Nguyen, Ravishankar Sundaresan
  • Publication number: 20040209457
    Abstract: The invention provides a method for forming a capacitor that enables to form HSG-Si on the entire surface of the exposed surface of a cylindrical bottom electrode. A core pattern is formed on the cylinder core layer on a semiconductor substrate, and an amorphous silicon film is formed so as to cover the core pattern. The amorphous silicon film on the cylinder core layer is removed so that the amorphous silicon film remains on the inside wall of the core pattern, and a bottom electrode comprising the amorphous silicon film is formed on the inside wall of the core pattern. The cylinder core layer that is the component of the core pattern is etching-removed, and then the natural oxide film generated on the surface of the bottom electrode and the amorphous silicon surface layer that is the component of the bottom electrode is etching-removed. Thereafter, HSG-Si is formed on the surface of the bottom electrode.
    Type: Application
    Filed: February 6, 2004
    Publication date: October 21, 2004
    Inventors: Tomoyuki Hirano, Hayato Iwamoto
  • Publication number: 20040198037
    Abstract: A four-layer structured hard mask composed of a SiC film, a first SiO2 film, a SiC film, and a second SiO2 film is formed on a porous silica film as an interlayer insulating film. Then, the second SiO2 film is etched with a resist mask. Subsequently, the SiC film is etched with the second SiO2 film. Thereafter, the first SiO2 film is etched with the SiC film. Subsequently, the SiC film is etched with the SiC film. Then, by etching the porous silica film with the SiC film, a wiring trench is formed. At this time, a selection ratio between the SiC film and the porous silica film is large, so that deformation of the SiC film rarely occurs, which prevents leakage caused by the deformation.
    Type: Application
    Filed: February 10, 2004
    Publication date: October 7, 2004
    Applicant: FUJITSU LIMITED
    Inventor: Yoshihisa Iba
  • Patent number: 6800546
    Abstract: The present invention comprises the steps of performing a reforming process on a surface of a low dielectric constant insulation film formed on a substrate which includes one of a porous low dielectric constant insulation film and a non-porous low dielectric constant insulation film and forming an insulation film as at least one of an etching mask and a Chemical Mechanical Polishing stopper (CMP stopper) on the reformed surface of the low dielectric constant insulation film. For example, plasma is radiated as a reforming process mentioned above, the surface roughness of a low dielectric insulation film is increased and, as a result, adhesion between the films and also between the inter-layer insulation film and other neighboring films can be improved with so-called “anchor effect”.
    Type: Grant
    Filed: March 12, 2002
    Date of Patent: October 5, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Nobuo Konishi, Mitsuaki Iwashita, Hiroki Ohno, Shigeru Kawamura, Masahito Sugiura
  • Patent number: 6790766
    Abstract: A method of fabricating a semiconductor device capable of increasing the selectivity of a low dielectric constant insulator film to an etching mask layer such as an etching stopper film without increasing the thickness of the etching mask layer is obtained. This method of fabricating a semiconductor device comprises steps of forming a first insulator film including a polymer film containing C and H, forming a first etching mask layer containing Si on a prescribed region of the first insulator film and plasma-etching the first insulator film with etching gas containing nitrogen and monochromated ion energy having a narrow energy width through a mask of the first etching mask layer.
    Type: Grant
    Filed: March 19, 2003
    Date of Patent: September 14, 2004
    Assignees: Sanyo Electric Co., Ltd., Fujitsu Limited
    Inventors: Yoshikazu Yamaoka, Moritaka Nakamura
  • Patent number: 6787446
    Abstract: The following defects are suppressed: when an interlayer insulating film including a silicon carbide film and an organic insulating film is dry-etched to form interconnection grooves over underlying Cu interconnections, an insulating reactant adheres to the surface of the underlying Cu interconnections exposed to the bottom of the interconnection grooves, or the silicon carbide film or the organic insulating film exposed to the side walls of the interconnection grooves are side-etched.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: September 7, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Hiroyuki Enomoto, Kazutami Tago, Atsushi Maekawa
  • Patent number: 6787448
    Abstract: A metal interconnection for an integrated circuit device is fabricated by forming a trench in an integrated circuit substrate and a via hole beneath a portion of the trench. The trench includes a trench sidewall and the via hole includes a sacrificial film therein. A buffer layer is formed on the trench sidewall. At least some of the sacrificial film is removed from the via hole by etching the sacrificial film through the trench that includes the buffer layer on the trench sidewall. The metal interconnection is formed in the via hole from which at least some of the sacrificial film has been removed, and in the trench. The buffer layer may use material having etch selectivity to an etchant which is used when removing the sacrificial film, to thereby protect the trench sidewall when removing the sacrificial film.
    Type: Grant
    Filed: August 20, 2003
    Date of Patent: September 7, 2004
    Assignee: Samsung Electronics Co., ltd.
    Inventor: Jin-Sung Chung
  • Publication number: 20040171248
    Abstract: A common problem associated with damascene structures made of copper inlaid in FSG (fluorinated silicate glass) is the formation of defects near the top surface of the structure. The present invention avoids this problem by laying down a layer of USG (undoped silicate glass) over the surface of the FSG layer prior to patterning and etching the latter to form the via hole and (for a dual damascene structure) the trench. After over-filling with copper, the structure is planarized using CMP. The USG layer acts both to prevent any fluorine from the FSG layer from reaching the copper and as an end-point detector during CMP. In this way defects that result from copper-fluorine interaction do not form and precise planarization is achieved.
    Type: Application
    Filed: March 2, 2004
    Publication date: September 2, 2004
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chung-Shi Liu, Shau-Lin Shue
  • Patent number: 6783862
    Abstract: A structure useful for electrical interconnection comprises a substrate; a plurality of porous dielectric layers disposed on the substrate; an etch stop layer disposed between a first of the dielectric layers and a second of the dielectric layers; and at least one thin, tough, non-porous dielectric layer disposed between at least one of the porous dielectric layers and the etch stop layer. A method for forming the structure comprising forming a multilayer stack of porous dielectric layers on the substrate, the stack including the plurality of porous dielectric layers, and forming a plurality of patterned metal conductors within the multilayer stack. Curing of the multilayer dielectric stack may be in a single cure step in a furnace. The application and hot plate baking of the individual layers of the multi layer dielectric stack may be accomplished in a single spin-coat tool, without being removed, to fully cure the stack until all dielectric layers have been deposited.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: August 31, 2004
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey C Hedrick, Kang-Wook Lee, Kelly Malone, Christy S Tyberg
  • Patent number: 6784552
    Abstract: A process for minimizing lateral spacer erosion of an insulating layer adjacent to a contact region and an apparatus whereby there is provided a contact opening with a small alignment tolerance relative to a gate electrode or other structure are disclosed. The process includes the steps of forming a conductive layer on a semiconductor body, then depositing an insulating layer adjacent to the conductive layer. Next, substantially rectangular insulating spacers are formed adjacent to the gate electrode. An etch stop layer is deposited adjacent the insulating layer, followed by an etch to remove the etch stop layer material from the contact region. This etch is conducted under conditions wherein the etch removes the etch stop layer, but retains the substantially rectangular lateral spacer profile of the first insulating layer.
    Type: Grant
    Filed: March 31, 2000
    Date of Patent: August 31, 2004
    Assignee: Cypress Semiconductor Corporation
    Inventors: James E. Nulty, Christopher J. Petti