Insulator Formed By Reaction With Conductor (e.g., Oxidation, Etc.) Patents (Class 438/635)
  • Patent number: 7566643
    Abstract: A programmable resistance, chalcogenide, switching or phase-change material device includes a substrate with a plurality of stacked layers including a conducting bottom composite electrode layer, an insulative layer having an opening formed therein, an active material layer deposited over both the insulative layer and the bottom composite electrode, and a top electrode layer deposited over the active material layer. The device uses a chemical or electrochemical liquid phase deposition process to selectively and conformally fill the insulative layer opening with the conductive bottom composite electrode layer. Conformally filling the conductive material within the opening reduces structural irregularities within the opening thereby increasing material density and resistivity within the device and thereby improving device performance and reducing programming current.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: July 28, 2009
    Assignee: Ovonyx, Inc.
    Inventors: Wolodymyr Czubatyi, Tyler Lowrey, Ed Spall
  • Patent number: 7491638
    Abstract: A new technique is disclosed in which a barrier/capping layer for a copper-based metal line is formed by using a thermal-chemical treatment followed by an in situ plasma-based deposition of silicon nitride and/or silicon carbon nitride. The thermal-chemical treatment is performed on the basis of an ammonium/nitrogen mixture in the absence of any plasma ambient.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: February 17, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Joerg Hohage, Matthias Lehr, Volker Kahlert
  • Patent number: 7476605
    Abstract: A method for manufacturing a semiconductor device is provided, which comprises forming a first metal wiring layer above a semiconductor substrate, forming an inorganic insulating film above the first metal wiring layer, forming an organic insulating film on the inorganic insulating film, forming a recess in the organic insulating film, forming a reactive layer on the side surface of the recess, the reactive layer being capable of reaction under heat with the organic insulating film, applying a heat treatment to the reactive layer so as to permit the reactive layer to react with the organic insulating film while leaving an unreacted reactive layer, thereby allowing the reaction layer to grow on the side surface of the recess, the recess being diminished by the growth of the reaction layer, and removing the unreacted reactive layer to obtain a diminished recess.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: January 13, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Yosho
  • Patent number: 7473577
    Abstract: An electronic device includes: at least one electronic chip comprising a first coefficient of thermal expansion (CTE); and a carrier including a top side connected to the bottom side of the chip by solder bumps. The carrier further includes a second CTE that approximately matches the first CTE, and a plurality of through vias from the bottom side of the carrier to the top side of the carrier layer. Each through via comprising a collar exposed at the top surface of the carrier, a pad exposed at the bottom surface of the carrier, and a post disposed between the collar and the pad. The post extends thorough a volume of space.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventor: Timothy J. Chainer
  • Patent number: 7425480
    Abstract: A method of manufacturing a MOS transistor incorporating a silicon oxide film serving as a gate insulating film and containing nitrogen and a polycrystalline silicon film serving as a gate electrode and containing a dopant and arranged such that the gate electrode is formed on the gate electrode insulating film, and an oxidation process using ozone is performed to sufficiently round the shape of the lower edge of the gate electrode.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: September 16, 2008
    Assignee: Kabushiki Kaisha Tohisba
    Inventors: Yoshio Ozawa, Yasumasa Suizu, Yoshitaka Tsunashima
  • Patent number: 7413985
    Abstract: By forming a copper/silicon/nitrogen alloy in a surface portion of a copper-containing region on the basis of a precursor layer, highly controllable and reliable process conditions may be established. The precursor layer may be formed on the basis of a liquid precursor solution, which may exhibit a substantially self-aligned and self-limiting deposition behavior.
    Type: Grant
    Filed: September 12, 2007
    Date of Patent: August 19, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christof Streck, Volker Kahlert
  • Publication number: 20080122121
    Abstract: A method for fabricating a semiconductor is disclosed. The method includes the steps of forming a porous insulation film and wires on the substrate, the wires embedded in the porous insulation film having a portion adjacent to the wires and a remote portion spaced apart from the wires; and applying an energy beam to the remote portion to change the structure of the porous insulation film such that an Young's modulus of the porous insulation film increased so as to substantially reinforce the strength of the porous insulation film.
    Type: Application
    Filed: November 21, 2007
    Publication date: May 29, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Shoichi Suda, Shino Tokuyo, Yoshihiro Nakata, Azuma Matsuura
  • Patent number: 7335606
    Abstract: A NiSi layer over silicon that is thermally stable and can form even in the presence of oxides. The method of fabricating the nickel silicide layer includes providing a substrate comprising silicon, depositing a layer of at least a 3-component metal alloy comprising nickel on a surface of the substrate, and annealing the alloy and the substrate. The annealing temperature is less than 1000° C. The 3-component metal alloy can include Ni, Ti and Pt.
    Type: Grant
    Filed: March 15, 2004
    Date of Patent: February 26, 2008
    Assignee: Agency for Science, Technology and Research
    Inventors: Dongzhi Chi, Tek Po Rinus, Soo Jin Chua
  • Patent number: 7303946
    Abstract: A method of manufacturing a MOS transistor incorporating a silicon oxide film serving as a gate insulating film and containing nitrogen and a polycrystalline silicon film serving as a gate electrode and containing a dopant and arranged such that the gate electrode is formed on the gate electrode insulating film, and an oxidation process using ozone is performed to sufficiently round the shape of the lower edge of the gate electrode.
    Type: Grant
    Filed: April 27, 2000
    Date of Patent: December 4, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshio Ozawa, Yasumasa Suizu, Yoshitaka Tsunashima
  • Patent number: 7297628
    Abstract: Inwardly-tapered openings are created in an Anti-Reflection Coating layer (ARC layer) provided beneath a patterned photoresist layer. The smaller, bottom width dimensions of the inwardly-tapered openings are used for defining further openings in an interlayer dielectric region (ILD) provided beneath the ARC layer. In one embodiment, the ILD separates an active layers set of an integrated circuit from its first major interconnect layer. Further in one embodiment, a taper-inducing etch recipe is used to create the inwardly-tapered ARC openings, where the etch recipe uses a mixture of CF4 and CHF3 and where the CF4/CHF3 volumetric inflow ratio is substantially less than 5 to 1, and more preferably closer to 1 to 1.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: November 20, 2007
    Assignee: Promos Technologies, Inc.
    Inventors: Chunyuan Chao, Kuei-Chang Tsai, George A. Kovall
  • Patent number: 7217667
    Abstract: An impurity can be introduced into a semiconductor layer of a workpiece to affect the oxidation and the relative concentration of one element with respect to another element within the semiconductor layer. The impurity can be selectively implanted using one or more masks, manipulating the beam line of an ion implant tool, moving a workpiece relative to the ion beam, or the like. The dose can vary as a function of distance from the center of the workpiece or vary locally based on the design of the electronic device or desires of the electronic device fabricator. In one embodiment, the impurity can be implanted in such a way as to result in a more uniform SiGe condensation across the substrate or across one or more portions of the substrate when the semiconductor layer includes a SiGe layer.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: May 15, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius K. Orlowski, Victor H. Vartanian
  • Patent number: 7151018
    Abstract: A method for manufacturing a transistor is provided. The transistor has a substrate with an insulator on the substrate. A structure on the insulator having a structure sidewall is provided with spacers covering a portion of the structure sidewall. An exposed portion of the structure sidewall is activated, and a conformal layer of metal or metal containing material is deposited on the exposed portion of the structure sidewall. The metal or metal containing material is annealed to diffuse into the exposed portion of the structure sidewall to form a salicide.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: December 19, 2006
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Peter D. Nunan, Sergey D. Lopatin
  • Patent number: 7148158
    Abstract: A semiconductor device includes a semiconductor device comprising a semiconductor substrate, source/drain regions formed in the semiconductor substrate, a gate insulation film formed on the semiconductor substrate, a gate electrode formed on the gate insulation film between the source/drain regions, and a gate sidewall spacer formed on side surfaces of the gate electrode, wherein the gate sidewall spacer is composed of silicon oxide containing 0.1–30 atomic % of chlorine.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: December 12, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshio Ozawa, Masayuki Tanaka, Kiyotaka Miyano, Shigehiko Saida
  • Patent number: 7144808
    Abstract: The present invention provides, in one embodiment, method of forming a barrier layer 300 over a semiconductor substrate 110. The method comprises forming an opening 120 in an insulating layer 130 located over a substrate thereby uncovering an underlying copper layer 140. The method further comprises exposing the opening and the underlying copper layer to a plasma-free reducing atmosphere 200 in the presence of a thermal anneal. The also comprises depositing a barrier layer in the exposed opening and on the exposed underlying copper layer. Such methods and resulting conductive structures thereof may be advantageously used in methods to manufacture integrated circuits comprising copper interconnects.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: December 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Sanjeev Aggarwal, Kelly J. Taylor
  • Patent number: 7064058
    Abstract: A praseodymium (Pr) gate oxide and method of fabricating same that produces a high-quality and ultra-thin equivalent oxide thickness as compared to conventional SiO2 gate oxides are provided. The Pr gate oxide is thermodynamically stable so that the oxide reacts minimally with a silicon substrate or other structures during any later high temperature processing stages. The process shown is performed at lower temperatures than the prior art, which further inhibits reactions with the silicon substrate or other structures. Using a thermal evaporation technique to deposit a Pr layer to be oxidized, the underlying substrate surface smoothness is preserved, thus providing improved and more consistent electrical properties in the resulting gate oxide.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: June 20, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7021983
    Abstract: A circuit array substrate 10 includes pixel and connecting edge sections 80 and 90. Connecting edge section 90 is provided with edge portions 5a and shoulder portions 55 of transparent thin resin film 5 over which terminal pins 101 of tape carrier packages (TCP) 100 are disposed. Terminal pins 101 are connected to connecting pads 14 at their contact portions 103. Shoulder portions 55 prevent a coated photoresist film from being excessive in depth and residues of the photoresist film from being left in the foot of edge face 5a in the step of forming metal reflective pixel electrodes. Thus, no residue of the metal film exists after its etching treatment in that step so that no electrical short circuits are caused between connecting pads 14 and adjacent terminal pins 101.
    Type: Grant
    Filed: September 15, 2005
    Date of Patent: April 4, 2006
    Assignee: TFPD Corporation
    Inventor: Hirotaka Shigeno
  • Patent number: 6960541
    Abstract: A semiconductor element with at least one layer of tungsten oxide, optionally in a structured tungsten oxide layer, is described. The semiconductor element is characterized in that the relative premittivity of the tungsten oxide layer is higher than 50.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: November 1, 2005
    Assignee: Infineon Technologies AG
    Inventors: Dirk Drescher, Helmut Tews, Martin Schrems, Helmut Wurzer
  • Patent number: 6933191
    Abstract: MIM capacitors and thin film resistors are fabricated with at least one less lithographic step than the prior art methods. The process step reduction is realized by using semi-transparent metallic electrodes, fabricated with a two-mask process, which provides for direct alignment, and eliminates the need for alignment trenches in an additional layer.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: August 23, 2005
    Assignee: International Business Machines Corporation
    Inventors: Glenn A. Biery, Zheng G. Chen, Timothy J. Dalton, Naftali E. Lustig
  • Patent number: 6916737
    Abstract: Methods of manufacturing semiconductor devices are disclosed. In an illustrated method, a contact hole in an insulating layer is filled with a copper layer and the copper layer is planarized. During the planarzing, a CuO layer is parasitically formed on the surface of the copper layer. The CuO layer is removed by plasma processing using ammonia or nitrogen. A conductive CuN layer is formed on the surface of the copper layer. Stability of the removal process of CuO layer is secured.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: July 12, 2005
    Assignee: DongbuAnam Semiconductor, Inc.
    Inventors: Byung Hyun Jung, Hyoung Yoon Kim
  • Patent number: 6911389
    Abstract: Methods are disclosed for forming vias, trenches, and interconnects through diffusion barrier, etch-stop, and dielectric materials for interconnection of electrical devices in dual damascene structures of a semiconductor device. A buried via mask at the etch-stop level provides openings with two or more adjacent via misalignment error regions merged into rectangular windows aligned orthogonal to a long axis of the underlying conductive features of a first metal level. The rectangular windows used together with openings in a hard mask form via portions, and the openings in the hard mask provide trench portions. Via and trench portions coincide during trench or via etch, as well as during hard mask or etch-stop layer etch together forming an interconnect cavity, which may then be filled with a conductive material to provide a conductive interconnect between the conductive feature of the first metal level and a second metal level.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: June 28, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Kenneth D. Brennan, Paul Gillespie
  • Patent number: 6900122
    Abstract: A praseodymium (Pr) gate oxide and method of fabricating same that produces a high-quality and ultra-thin equivalent oxide thickness as compared to conventional SiO2 gate oxides are provided. The Pr gate oxide is thermodynamically stable so that the oxide reacts minimally with a silicon substrate or other structures during any later high temperature processing stages. The process shown is performed at lower temperatures than the prior art, which further inhibits reactions with the silicon substrate or other structures. Using a thermal evaporation technique to deposit a Pr layer to be oxidized, the underlying substrate surface smoothness is preserved, thus providing improved and more consistent electrical properties in the resulting gate oxide.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: May 31, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6893978
    Abstract: A method for oxidizing a semiconductor topography is provided, which includes generating a plasma from a first gas comprising oxygen and a second gas adapted to enhance the generation of oxygen radicals from the first gas. In addition, the method includes extracting the oxygen radicals from the plasma and diffusing the oxygen radicals into one or more layers of the topography. In general, the second gas may include any gas having a component adapted to enhance the generation of oxygen radicals from the first gas. For example, in some embodiments, the second gas may include a gas including nitrogen. In such an embodiment, the ratio of the first gas to the second gas may be adapted to prevent the introduction of nitrogen within the oxidized topography. In addition or alternatively, such a method may include oxidizing a portion of a layer which has a thickness greater than approximately 6 angstroms.
    Type: Grant
    Filed: December 3, 2002
    Date of Patent: May 17, 2005
    Assignee: Silicon Magnetic Systems
    Inventor: Witold Kula
  • Patent number: 6872656
    Abstract: A semiconductor device includes a first interconnection, an interlayer insulation film covering the first interconnection a contact hole provided in the interlayer insulation film and reaching the first interconnection, a first barrier metal and a tungsten plug provided in the contact hole, an oxide film provided at a surface of the tungsten plug, and a second barrier metal and a second interconnection provided on the oxide film.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: March 29, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Katsuhisa Sakai
  • Patent number: 6869878
    Abstract: The reliability and performance of planarized metallization patterns in an electrical device, for example copper, inlaid in the surface of a layer of dielectric material overlying a semiconductor wafer substrate, are enhanced by a method for reliably depositing a barrier layer selective to the metallization patterns. The method comprises forming a sacrificial dielectric layer above a substrate. Metallization patterns are formed in the sacrificial dielectric layer. The barrier layer is selectively deposited on the metallization patterns. Portions of the barrier material undesirably deposited on the sacrificial dielectric layer are removed by removing the sacrificial dielectric layer, thus preventing bridging of adjacent metallization features by the barrier layer portions. An interlevel dielectric layer is then formed in place of the sacrificial dielectric layer.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: March 22, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ercan Adem, John E. Sanchez, Darrell M. Erb, Suzette K. Pangrle
  • Patent number: 6867128
    Abstract: A method for fabricating an electronic component with a self-aligned source, drain and gate. The method includes forming a dummy gate on a silicon substrate, in which the dummy gate defines a position for a channel of the component. The method also includes at least one implantation of doping impurities in the substrate, to form a source and a drain on either side of the channel, using the dummy gate as an implanting mask, superficial, self-aligned siliciding of the source and drain, depositing at least one contact metal layer having a total thickness greater than a height of the dummy gate, polishing the at least one contact metal layer stopping at the dummy gate, and replacing the dummy gate by at least one final gate separated from the substrate by a gate insulating layer, and electrically insulated from the source and drain.
    Type: Grant
    Filed: June 8, 2001
    Date of Patent: March 15, 2005
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Simon Deleonibus
  • Patent number: 6861351
    Abstract: A contact structure is provided incorporating an amorphous titanium nitride barrier layer formed via low-pressure chemical vapor deposition (LPCVD) utilizing tetrakis-dialkylamido-titanium, Ti(NMe2)4, as the precursor. The contact structure is fabricated by etching a contact opening through a dielectric layer down to a diffusion region to which electrical contact is to be made. Titanium metal is deposited over the surface of the wafer so that the exposed surface of the diffusion region is completely covered by a layer of the metal. At least a portion of the titanium metal layer is eventually converted to titanium silicide, thus providing an excellent conductive interface at the surface of the diffusion region. A titanium nitride barrier layer is then deposited using the LPCVD process, coating the walls and floor of the contact opening. Chemical vapor deposition of polycrystalline silicon or of a metal follows.
    Type: Grant
    Filed: August 8, 2003
    Date of Patent: March 1, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Trung T. Doan, Tyler A. Lowrey
  • Patent number: 6852551
    Abstract: A method of forming a ferroelectric film includes the steps of forming a layer by a material that takes a metal state in a reducing ambient and an oxide state in an oxidizing ambient, and depositing a ferroelectric film on a surface of the layer by supplying gaseous sources of the ferroelectric film and an oxidizing gas and causing a decomposition of the gaseous sources at the surface of said layer, wherein the step of depositing the ferroelectric film is started with a preparation step in which the state of the surface of said layer is controlled substantially to a critical point in which the layer changes from the metal state to the oxide state and from the oxide state to the metal state.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: February 8, 2005
    Assignee: Fujitsu Limited
    Inventor: Hideki Yamawaki
  • Patent number: 6849537
    Abstract: An interconnect line that is enclosed within electrically conductive material is disclosed. The interconnect line, which is useful for electrically connecting devices in an integrated circuit, is defined by an aluminum layer having a bottom surface covered by a titanium layer, a top surface covered by a titanium layer, and opposing side surfaces covered by discrete titanium layers. The encapsulation of the aluminum layer within the titanium layers substantially precludes void formation within the aluminum layer. The interconnect line also may be upon a contact plug that is in electrical communication with an active area in an underlying semiconductor substrate.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: February 1, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Jeffrey W. Honeycutt
  • Patent number: 6838305
    Abstract: A method of fabricating a solid-state imaging device is provided, which enables the formation of an anti-reflection film by oxidizing a surface of a metallic light-shield film without adding additional steps, even though the metallic light-shield film is composed of not only refractory metal silicide but also metals, including tungsten and molybdenum. The method comprises the steps of forming a metallic light-shield film on a light receiving sensor and a transfer electrode formed on a surface layer of a wafer, forming an opening on the metallic light-shield film on the light receiving sensor by etching, forming an interlayer film, and shaping the interlayer film into a lens shape by heat treatment. An atmosphere of either one or both of oxygen gas and ozone gas is prepared in a chamber for forming the interlayer film, and a surface of the metallic light-shield-film is oxidized before the interlayer film is formed.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: January 4, 2005
    Assignee: Sony Corporation
    Inventors: Kazuaki Moriyama, Takeshi Matsuda
  • Patent number: 6828229
    Abstract: A method of forming an interconnection line in a semiconductor device is provided. A first etching stopper is formed on a lower conductive layer which is formed on a semiconductor substrate. A first interlayer insulating layer is formed on the first etching stopper. A second etching stopper is formed on the first interlayer insulating layer. A second interlayer insulating layer is formed on the second etching stopper. The second interlayer insulating layer, the second etching stopper, and the first interlayer insulating layer are sequentially etched using the first etching stopper as an etching stopping point to form a via hole aligned with the lower conductive layer. A protective layer is formed to protect a portion of the first etching stopper exposed at the bottom of the via hole. A portion of the second interlayer insulating layer adjacent to the via hole is etched using the second etching stopper as an etching stopping point to form a trench connected to the via hole. The protective layer is removed.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: December 7, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soo-geun Lee, Hong-jae Shin, Kyoung-woo Lee, Jae-hak Kim
  • Patent number: 6821882
    Abstract: A method of manufacturing a semiconductor device according to the present invention forms a laminate metal film having a copper metal layer and a barrier metal, and once immerses the laminate metal film in a solution including organic acid having at least one carboxyl group before a heat treatment, thereby removing from the laminate metal film an oxide which is the source of oxygen that diffuses during the heat treatment.
    Type: Grant
    Filed: January 16, 2004
    Date of Patent: November 23, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Norio Okada
  • Patent number: 6815820
    Abstract: A conductive line varies in thickness to assist in overcoming RC delays and noise coupling. By varying line thickness, variation in conductor width is avoided if necessary to maintain a specified minimum pitch between conductors while maintaining predetermined desired RC parameters and noise characteristics of the conductive line. Conductor depth variation is achieved by etching a dielectric layer to different thicknesses. A subsequent conductive fill over the dielectric layer and in the differing thicknesses results in a conductive line that varies in thickness. Different conductive line thicknesses available at a particular metal level can additionally be used for semiconductor structures other than a signal or a power supply conductive line, such as a contact, a via or an electrode of a device. The thickness analysis required to determine how interconnect thickness is varied in order to meet a desired design criteria may be automated and provided as a CAD tool.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: November 9, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Kathleen C. Yu, Kirk J. Strozewski, Janos Farkas, Hector Sanchez, Yeong-Jyh T. Lii
  • Patent number: 6806162
    Abstract: A method for composing a dielectric layer within an interconnect structure of a multilayer semiconductor device is disclosed. A layer of silica precursor material is first deposited on a silicon substrate. Without affecting its structure and porosity, the layer of silica precursor material is then dried; and the layer of silica precursor material becomes porous silica film. Subsequently, a protective layer, such as parylene, is deposited on top of the dried porous silica film. The thickness of the protective layer should be greater than the peak-valley planarization requirements of the silicon substrate surface. As a result, a composite porous silica film, which services as a dielectric layer within an interconnect structure, is formed. This composite porous silica film has a relatively low dielectric constant and is able to withstand damage from a standard CMP procedure.
    Type: Grant
    Filed: June 11, 2003
    Date of Patent: October 19, 2004
    Assignee: LSI Logic Corporation
    Inventors: Gayle W. Miller, Gail D. Shelton
  • Publication number: 20040185654
    Abstract: A praseodymium (Pr) gate oxide and method of fabricating same that produces a high-quality and ultra-thin equivalent oxide thickness as compared to conventional SiO2 gate oxides are provided. The Pr gate oxide is thermodynamically stable so that the oxide reacts minimally with a silicon substrate or other structures during any later high temperature processing stages. The process shown is performed at lower temperatures than the prior art, which further inhibits reactions with the silicon substrate or other structures. Using a thermal evaporation technique to deposit a Pr layer to be oxidized, the underlying substrate surface smoothness is preserved, thus providing improved and more consistent electrical properties in the resulting gate oxide.
    Type: Application
    Filed: January 30, 2004
    Publication date: September 23, 2004
    Applicant: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6790767
    Abstract: A method for formation of a copper diffusion barrier film using aluminum is disclosed. In the method, thin aluminum (Al) film is deposited on a dielectric, and a surface of the deposited aluminum film is plasma treated with NH3, thereby transforming the surface of the plasma treated aluminum film into a nitride film basically composed of aluminum nitride (AlxNy), and an aluminum film is deposited on the surface of the transformed aluminum nitride film, and copper is deposited on the surface of the deposited aluminum film. Therefore, because the diffusion of copper is suppressed, the problem that leakages between metal lines increase as pitches between the metals decrease due to high integration of parts of semiconductor can be settled.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: September 14, 2004
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae Suk Lee
  • Patent number: 6780759
    Abstract: A method and technique for achieving a high strength bond between two substrates includes igniting a plasma using a source RF signal. The substrates are biased with a bias RF signal during surface treatment by the plasma. The treated surfaces are brought into contact. The resulting bonded substrates show an improvement over bonds attained using conventional bonding techniques.
    Type: Grant
    Filed: October 1, 2001
    Date of Patent: August 24, 2004
    Assignee: Silicon Genesis Corporation
    Inventors: Shari N. Farrens, Mark A. Franklin, William J. Franklin, Wei Liu
  • Patent number: 6777333
    Abstract: A method for fabricating a semiconductor device includes the steps of: forming an insulating film on a conductive pattern formed on a substrate; forming a resist pattern on the insulating film; performing etching to the insulating film using the resist pattern as a mask to form in the insulating film an opening at which part of the surface of the conductive pattern is exposed; forming an antioxidant layer on the part of surface of the conductive pattern exposed while removing the resist pattern; and depositing a conductive film on the conductive pattern from which the antioxidant layer has been removed.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: August 17, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Masahiro Joei
  • Patent number: 6774029
    Abstract: Disclosed are methods for forming a conductive film or a conductive pattern on a semiconductor substrate, including nitrifying a semiconductor substrate on which a tungsten film having a partially oxidized surface is formed to form a tungsten nitride film on the surface of the tungsten film, oxidizing the surface of the tungsten film having the tungsten nitride film to change the tungsten nitride film into a tungsten oxy-nitride film, and removing the tungsten oxy-nitride film and any residue generated by a reaction of tungsten from the surface of the tungsten film to form a tungsten film. Complete removal of residues generated by a reaction of tungsten from the surface of the tungsten film is made possible. Therefore, resistance of the tungsten film may be reduced, and failures generated by reacted residues formed on tungsten films may be prevented.
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: August 10, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Cheol Shin, Hyeon-Deok Lee, Hong-mi Park, In-Sun Park
  • Patent number: 6764942
    Abstract: A re-oxidation process of a semiconductor device is described. A substrate having a stacked structure thereon is provided, wherein the stacked structure includes a polysilicon/tungsten silicide interface. A thin CVD oxide layer is formed on the substrate and the stacked structure with a chemical vapor deposition (CVD) process. Then, an oxidation process is performed to form a thermal oxide layer on the substrate and the stacked structure.
    Type: Grant
    Filed: November 29, 2002
    Date of Patent: July 20, 2004
    Assignee: Macronix International Co., Ltd.
    Inventors: Jui-Neng Tu, June-Min Yao
  • Patent number: 6764943
    Abstract: An enhanced-surface-area conductive layer compatible with high-dielectric constant materials is created by forming a film or layer having at least two phases, at least one of which is electrically conductive. The film may be formed in any convenient manner, such as by chemical vapor deposition techniques, which may be followed by an anneal to better define and/or crystallize the at least two phases. The film may be formed over an underlying conductive layer. At least one of the at least two phases is selectively removed from the film, such as by an etch process that preferentially etches at least one of the at least two phases so as to leave at least a portion of the electrically conductive phase. Ruthenium and ruthenium oxide, both conductive, may be used for the two or more phases. Iridium and its oxide, rhodium and its oxide, and platinum and platinum-rhodium may also be used. A wet etchant comprising ceric ammonium nitrate and acetic acid may be used.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: July 20, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Mark Visokay, Thomas M. Graettinger, Steven D. Cummings
  • Patent number: 6756298
    Abstract: In recent years, copper wiring has emerged as a promising substitute for the aluminum wiring in integrated circuits, because copper offers lower electrical resistance and better reliability at smaller dimensions than aluminum. However, use of copper typically requires forming a diffusion barrier to prevent contamination of other parts of an integrated circuit and forming a seed layer to facilitate copper plating steps. Unfortunately, conventional methods of forming the diffusion barriers and seed layers require use of separate wafer-processing chambers, giving rise to transport delays and the introduction of defect-causing particles. Accordingly, the inventors devised unique wafer-processing chambers and methods of forming barrier and seed layers.
    Type: Grant
    Filed: August 1, 2002
    Date of Patent: June 29, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6737341
    Abstract: A manufacturing method for a semiconductor intergraded circuit device comprises forming, over a gate insulating film which has been formed over a gate insulating film which has been formed over the main surface of a single crystal silicon substrate to have an effective film thinkness less than 5 nm in terms of SiO2, a W film as a gate electrode material, and heat treating the silicon substrate in a water-vapor- and hydrogen-containing gas atmosphere having a water vapor/hydrogen partial pressure ratio set at a ratio permitting oxidation of silicon without substantial oxidation of the W film, whereby defects of the gate insulating film right under the W film are repaired. In this way, in a MISFET having a metal gate electrode formed over a ultra-thin gate insulating film having an effective film thinkness less than 5 nm in term of SiO2, defectes of the gate insulating film can be repaired without oxidizing the metal gate electrode.
    Type: Grant
    Filed: May 25, 2000
    Date of Patent: May 18, 2004
    Assignee: Renesas Technology Corporation
    Inventors: Naoki Yamamoto, Yoshikazu Tanabe
  • Publication number: 20040067639
    Abstract: A method for forming a silicon dioxide layer over a silicon substrate including providing a substrate having exposed silicon portions; and, forming a silicon dioxide layer over the exposed silicon portions according to an oxide formation process including contacting the exposed silicon portions with an oxidizing solution comprising water and ozone.
    Type: Application
    Filed: October 5, 2002
    Publication date: April 8, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Vincent Pai, Kuo-Chi Tu, Chung-Wei Chang, Chia-Shiung Tsai, Chun-Yao Chen
  • Patent number: 6677238
    Abstract: The present invention provides a method for fabricating a thin film pattern including forming a pattern made of an organic molecule film on a substrate. The method further includes supplying a solution for forming a thin film onto the organic molecule film pattern, and selectively forming the thin film on the organic molecule film pattern.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: January 13, 2004
    Assignee: Seiko Epson Corporation
    Inventor: Shunichi Seki
  • Patent number: 6673704
    Abstract: A method of manufacturing semiconductor device which comprises the steps of forming an insulating film on an Si substrate provided with a wiring layer, forming a contact hole connected to the wiring layer and a wiring groove in the insulating film, filling the contact hole with an Si film, successively forming an Al film and a Ti film all over the substrate, performing a heat treatment thereby to substitute the Al film for the Ti film, and to allow the Si film to be absorbed by the Ti film, whereby filling the contact hole and wiring groove with the Al film, and removing a Ti/Ti silicide which is consisting of Ti silicide formed through the absorption of the Si film by the Ti film and a superfluous Ti, whereby filling the contact hole with an Al plug and filling the wiring groove with an Al wiring.
    Type: Grant
    Filed: July 8, 2002
    Date of Patent: January 6, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Junichi Wada, Atsuko Sakata, Tomio Katata, Takamasa Usui, Masahiko Hasunuma, Hideki Shibata, Hisashi Kaneko, Nobuo Hayasaka, Katsuya Okumura
  • Patent number: 6664179
    Abstract: A semiconductor device production method that is used to uniformly and efficiently reduce metal oxides produced on metal (copper, for example) which forms electrodes or wirings on a semiconductor device. An object to be treated on which copper oxides are produced is put into a process chamber and is heated by a heater to a predetermined temperature. Then carboxylic acid stored in a storage tank is vaporized by a carburetor. The vaporized carboxylic acid, together with carrier gas, is introduced into the process chamber via a treating gas feed pipe to reduce the copper oxides produced on the object to be treated to metal copper. As a result, metal oxides can be reduced uniformly without making the surfaces of electrodes or wirings irregular. Moreover, in this case, carbon dioxide and water are both produced in a gaseous state. This prevents impurities from remaining on the surface of copper.
    Type: Grant
    Filed: August 20, 2002
    Date of Patent: December 16, 2003
    Assignee: Fujitsu Limited
    Inventors: Ade Asneil Akbar, Takayuki Ohba
  • Patent number: 6638810
    Abstract: The invention provides a method for forming a metal nitride film by depositing a metal oxide film on the substrate and exposing the metal oxide film to a nitrating gas to densify the metal oxide and form a metal nitride film. The metal oxide film is deposited by the decomposition of a chemical vapor deposition precursor. The nitrating step comprises exposing the metal oxide film to a thermally or plasma enhanced nitrating gas preferably comprising nitrogen, oxygen, and ammonia. The invention also provides a process for forming a liner/barrier scheme for a metallization stack by forming a metal nitride layer over the substrate by the densification of a metal oxide layer by a nitrating gas depositing a metal liner layer. Optionally, a metal liner layer may be deposited over substrate prior to the metal nitride layer to forma metal/metal nitride liner/barrier scheme.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: October 28, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Mouloud Bakli, Steve G. Ghanayem, Huyen T. Tran
  • Patent number: 6624071
    Abstract: The present invention provides a method for fabricating a thin film pattern including forming a pattern made of an organic molecule film on a substrate. The method further includes supplying a solution for forming a thin film onto the organic molecule film pattern, and selectively forming the thin film on the organic molecule film pattern.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: September 23, 2003
    Assignee: Seiko Epson Corporation
    Inventor: Shunichi Seki
  • Patent number: 6620714
    Abstract: A method for reducing oxidation encroachment of stacked gate layer is provided by forming a silicon oxynitride layer on the sidewall surface of the stacked gate layer. A tilted ion implantation step is performed to implant nitrogen ions into the sidewall surface of the stacked gate layer to rich nitrogen containing in the sidewall surface of the stacked gate layer. An oxygen-annealing step is subsequently performed to form a silicon oxynitride layer on the sidewall surface of the stacked gate layer. The silicon oxynitride layer can prevent the polysilicon layer in the stacked gate layer being continuously encroached from the oxygen.
    Type: Grant
    Filed: January 14, 2002
    Date of Patent: September 16, 2003
    Assignee: Macronix International Co., Ltd.
    Inventors: Chun-Lien Su, Chun-Chi Wang, Ming-Shang Chen
  • Patent number: 6593229
    Abstract: Described is a manufacturing method for a semiconductor integrated circuit device which comprises forming, over a gate insulating film which has been formed over the main surface of a single crystal silicon substrate to have an effective film thickness less than 5 nm in terms of Sio2, a W film as a gate electrode material, and heat treating the silicon substrate in a water-vapor- and hydrogen-containing gas atmosphere having a water vapor/hydrogen partial pressure ratio set at a ratio permitting oxidation of silicon without substantial oxidation of the W film, whereby defects of the gate insulating film rightly under the W film are repaired. According to the present invention, in a MISFET having a metal gate electrode formed over a ultra-thin gate insulating film having an effective film thickness less than 5 nm in terms of SiO2, defects of the gate insulating film can be repaired without oxidizing the metal gate electrode.
    Type: Grant
    Filed: August 9, 2000
    Date of Patent: July 15, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Naoki Yamamoto, Yoshikazu Tanabe