Specified Configuration Of Electrode Or Contact Patents (Class 438/666)
  • Patent number: 11916028
    Abstract: A package structure and a method of forming the same are provided. The package structure includes a die, an encapsulant and a RDL structure, the encapsulant encapsulate sidewalls of the die. The RDL structure is disposed on the die and the encapsulant. The RDL structure includes a first dielectric structure and a first redistribution layer. The first dielectric structure includes a first dielectric material layer and a second dielectric material layer on the first dielectric material layer. The first redistribution layer is embedded in the first dielectric structure and electrically connected to the die, the redistribution layer comprises a first seed layer and a first conductive layer disposed on the first seed layer. A topmost surface of the first seed layer and a topmost surface of the first conductive layer are substantially level with a top surface of the second dielectric material layer.
    Type: Grant
    Filed: July 19, 2021
    Date of Patent: February 27, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chun-Hui Yu, Kuo-Chung Yee
  • Patent number: 11901422
    Abstract: A semiconductor device includes a source/drain region in a fin-type active pattern, a gate structure adjacent to the source/drain region, and an insulating layer on the source/drain region and the gate structure. A shared contact plug penetrates through the insulating layer and includes a first lower portion connected to the source/drain region, a second lower portion connected to the gate structure, and an upper portion connected to upper surfaces of the first lower portion and the second lower portion. A plug spacer film is between the insulating layer and at least one of the first lower portion and the second lower portion and includes a material different from a material of the insulating layer.
    Type: Grant
    Filed: April 7, 2021
    Date of Patent: February 13, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Deok Han Bae, Hyung Jong Lee, Hyun Jin Kim
  • Patent number: 11888068
    Abstract: Some embodiments include an integrated assembly having a pillar of semiconductor material. The pillar has a base region, and bifurcates into two segments which extend upwardly from the base region. The two segments are horizontally spaced from one another by an intervening region. A conductive gate is within the intervening region. A first source/drain region is within the base region, a second source/drain region is within the segments, and a channel region is within the segments. The channel region is adjacent to the conductive gate and is vertically disposed between the first and second source/drain regions. Some embodiments include methods of forming integrated assemblies.
    Type: Grant
    Filed: October 15, 2021
    Date of Patent: January 30, 2024
    Assignee: Micron Technology, Inc.
    Inventors: Antonino Rigano, Marcello Mariani
  • Patent number: 11854883
    Abstract: A method for forming an interconnect structure is provided. The method for forming the interconnect structure includes forming a first dielectric layer over a substrate, forming a first conductive feature through the first dielectric layer, etching the first conductive feature to form a recess over the first conductive feature, forming a second dielectric layer over the first dielectric layer and filling the recess, etching the second dielectric layer to form an opening exposing an upper surface of the first conductive feature, and forming a second conductive feature in the opening.
    Type: Grant
    Filed: April 15, 2021
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Han Lin, Che-Cheng Chang
  • Patent number: 11842924
    Abstract: The present disclosure relates to an integrated chip including a substrate. A first conductive wire is within a first dielectric layer that is over the substrate. A first etch-stop layer is over the first dielectric layer. A second etch-stop layer is over the first etch-stop layer. A conductive via is within a second dielectric layer that is over the second etch-stop layer. The conductive via extends through the second etch-stop layer and along the first etch-stop layer to the first conductive wire. A first lower surface of the second etch-stop layer is on a top surface of the first etch-stop layer. A second lower surface of the second etch-stop layer is on a top surface of the first conductive wire.
    Type: Grant
    Filed: June 3, 2021
    Date of Patent: December 12, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsi-Wen Tien, Wei-Hao Liao, Yu-Teng Dai, Hsin-Chieh Yao, Chih Wei Lu, Chung-Ju Lee
  • Patent number: 11837544
    Abstract: The present disclosure describes a method for forming liner-free or barrier-free conductive structures. The method includes depositing an etch stop layer on a cobalt contact disposed on a substrate, depositing a dielectric on the etch stop layer, etching the dielectric and the etch stop layer to form an opening that exposes a top surface of the cobalt contact, and etching the exposed top surface of the cobalt contact to form a recess in the cobalt contact extending laterally under the etch stop layer. The method further includes depositing a ruthenium metal to substantially fill the recess and the opening, and annealing the ruthenium metal to form an oxide layer between the ruthenium metal and the dielectric.
    Type: Grant
    Filed: July 28, 2022
    Date of Patent: December 5, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsu-Kai Chang, Keng-Chu Lin, Sung-Li Wang, Shuen-Shin Liang, Chia-Hung Chu
  • Patent number: 11798892
    Abstract: Integrated circuit (IC) packages having a through-via interposer with an embedded die, as well as related structures, devices, and methods, are disclosed herein. For example, in some embodiments, an IC package may include a through-via interposer with an embedded die, the through-via connections having front to back conductivity. In some embodiments, a die may be disposed on the back side of an IC package having a through-via interposer with an embedded die and may be electrically coupled to the embedded die. In some embodiments, a second IC package in a package-on-package (PoP) arrangement may be disposed on the back side of an IC package having a through-via interposer with an embedded die and may be electrically coupled to the conductive vias.
    Type: Grant
    Filed: January 14, 2021
    Date of Patent: October 24, 2023
    Assignee: Intel Corporation
    Inventor: John S. Guzek
  • Patent number: 11744084
    Abstract: A semiconductor device includes a semiconductor substrate, a gate structure, a source region, a drain region, an interconnect structure, a memory cell and a conductive via. The semiconductor substrate has a first side and a second side opposite to the first side. The gate structure is disposed over the first side of the semiconductor substrate. The source region and the drain region are disposed in the semiconductor substrate aside the gate structure. The interconnect structure is disposed over the first side of the semiconductor substrate and electrically connected to the source region. The memory cell is disposed over the second side of the semiconductor substrate and electrically connected to the drain region. The conductive via is disposed in the semiconductor substrate between the drain region and the memory cell and electrically connects the drain region and the memory cell.
    Type: Grant
    Filed: June 30, 2021
    Date of Patent: August 29, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiang-Ku Shen, Liang-Wei Wang, Dian-Hau Chen, Yen-Ming Chen
  • Patent number: 11728211
    Abstract: A semiconductor device structure, along with methods of forming such, are described. The semiconductor device structure includes a device, a first conductive structure disposed over the device, and the first conductive structure includes a first sidewall having a first portion and a second portion. The semiconductor device structure further includes a first spacer layer disposed on the first portion, a second conductive structure disposed adjacent the first conductive structure, and the second conductive structure includes a second sidewall having a third portion and a fourth portion. The semiconductor device structure further includes a second spacer layer disposed on the third portion, and an air gap is formed between the first conductive structure and the second conductive structure. The second portion, the first spacer layer, the fourth portion, and the second spacer layer are exposed to the air gap.
    Type: Grant
    Filed: April 18, 2022
    Date of Patent: August 15, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Lin-Yu Huang, Li-Zhen Yu, Chia-Hao Chang, Cheng-Chi Chuang, Kuan-Lun Cheng, Chih-Hao Wang
  • Patent number: 11651964
    Abstract: A semiconductor structure and a forming method thereof are provided.
    Type: Grant
    Filed: March 31, 2021
    Date of Patent: May 16, 2023
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: Jisong Jin
  • Patent number: 11631664
    Abstract: A resistor-transistor-logic (RTL) circuit with GaN structure, including a GaN layer, a AlGaN barrier layer on the GaN layer, multiple p-type doped GaN capping layers on the AlGaN barrier layer, wherein parts of the p-type doped GaN capping layers in a high-voltage region and in a low-voltage region convert the underlying GaN layer into gate depletion areas, the GaN layer not covered by the p-type doped GaN capping layers in a resistor region becomes a 2DEG resistor.
    Type: Grant
    Filed: October 21, 2020
    Date of Patent: April 18, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Kuo-Hsing Lee, Sheng-Yuan Hsueh, Chien-Liang Wu, Te-Wei Yeh, Yi-Chun Chen
  • Patent number: 11605597
    Abstract: A semiconductor package structure and a method for manufacturing a semiconductor package structure are provided. The semiconductor package structure includes a first passivation layer, a first metal layer and a first semiconductor die. The first metal layer is embedded in the first passivation layer. The first metal layer defines a first through-hole. The first semiconductor die is disposed on the first passivation layer.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: March 14, 2023
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Hsu-Nan Fang, Chun-Jun Zhuang
  • Patent number: 11587828
    Abstract: The present disclosure relates to a semiconductor device and a method for forming a semiconductor device with a graphene conductive structure. The semiconductor device includes a first gate structure disposed over a semiconductor substrate, and a first source/drain region disposed in the semiconductor substrate and adjacent to the first gate structure. The semiconductor device also includes a first silicide layer disposed in the semiconductor substrate and over the first source/drain region, and a graphene conductive structure disposed over the first silicide layer. The semiconductor device further includes a first dielectric layer covering the first gate structure, and a second dielectric layer disposed over the first dielectric layer. The graphene conductive structure is surrounded by the first dielectric layer and the second dielectric layer.
    Type: Grant
    Filed: August 11, 2020
    Date of Patent: February 21, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Ching-Cheng Chuang
  • Patent number: 11502035
    Abstract: An apparatus comprises a first metal feature in a first dielectric layer over a substrate, wherein a sidewall portion of the first dielectric layer is over a top surface of the first metal feature, a second dielectric layer over the first dielectric layer and a second metal feature extending through the second dielectric layer, wherein a bottom of a first portion of the second metal feature is in contact with the top surface of the first metal feature and a bottom of a second portion of the second metal feature is in contact with the sidewall portion of the first dielectric layer.
    Type: Grant
    Filed: December 9, 2019
    Date of Patent: November 15, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Su-Jen Sung
  • Patent number: 11482453
    Abstract: Provided are a method of manufacturing a semiconductor device using a thermally decomposable layer, a semiconductor manufacturing apparatus, and the semiconductor device. The method includes forming an etch target layer on a substrate, forming thermally decomposable patterns spaced apart from each other on the etch target layer, forming a first mask pattern covering at least sidewalls of the thermally decomposable patterns, and removing the thermally decomposable patterns by a heating method to expose a sidewall of the first mask pattern.
    Type: Grant
    Filed: February 7, 2020
    Date of Patent: October 25, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Heon Lee, Munjun Kim, Jaekang Koh, Tae-Jong Han
  • Patent number: 11469170
    Abstract: A semiconductor device includes a substrate, an active region, an isolation structure, a first metal line, gate structure, source/drain region, a source/drain contact, and a second metal line. The active region protrudes from a top surface of the substrate. The isolation structure is over the substrate and laterally surrounds the active region. The first metal line is in the isolation structure. The gate structure is over the active region. The source/drain region is in the active region. The source/drain contact is over the active region and is electrically connected to the source/drain region. The second metal line is over the gate structure and the source/drain contact, in which the second metal line vertically overlaps the first metal line.
    Type: Grant
    Filed: September 11, 2020
    Date of Patent: October 11, 2022
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TSMC NANJING COMPANY LIMITED, TSMC CHINA COMPANY LIMITED
    Inventors: Zhang-Ying Yan, Xin-Yong Wang
  • Patent number: 11456208
    Abstract: A method of forming an apparatus includes forming pillar structures extending vertically through a first isolation material, forming conductive lines operatively coupled to the pillar structures, forming dielectric structures overlying the conductive lines, and forming air gaps between neighboring conductive lines. The air gaps are laterally adjacent to the conductive lines with a portion of the air gaps extending above a plane of an upper surface of the laterally adjacent conductive lines and a portion of the air gaps extending below a plane of a lower surface of the laterally adjacent conductive lines. Apparatuses, memory devices, methods of forming a memory device, and electronic systems are also disclosed.
    Type: Grant
    Filed: August 11, 2020
    Date of Patent: September 27, 2022
    Assignee: Micron Technology, Inc.
    Inventors: Sidhartha Gupta, David Ross Economy, Richard J. Hill, Kyle A. Ritter, Naveen Kaushik
  • Patent number: 11450601
    Abstract: Some embodiments include an assembly having a memory stack which includes dielectric levels and conductive levels. A select gate structure is over the memory stack. A trench extends through the select gate structure. The trench has a first side and an opposing second side, along a cross-section. The trench splits the select gate structure into a first select gate configuration and a second select gate configuration. A void is within the trench and is laterally between the first and second select gate configurations. Channel material pillars extend through the memory stack. Memory cells are along the channel material pillars.
    Type: Grant
    Filed: September 18, 2019
    Date of Patent: September 20, 2022
    Assignee: Micron Technology, Inc.
    Inventors: John D. Hopkins, George Matamis
  • Patent number: 11437403
    Abstract: Provided is a storage device according to an embodiment including: a stacked body including gate electrode layers stacked in a first direction; a semiconductor layer provided in the stacked body and extending in the first direction; and a gate insulating film provided between the semiconductor layer and the gate electrode layer, the gate insulating film having a first region disposed between the gate electrode layer and the semiconductor layer and a second region disposed between the two first regions adjacent to each other in the first direction, the gate insulating film containing a hafnium oxide, in which a first thickness of the first region in the second direction from the semiconductor layer toward the gate electrode layer is smaller than a second thickness of the second region in the second direction.
    Type: Grant
    Filed: June 16, 2020
    Date of Patent: September 6, 2022
    Assignee: Kioxia Corporation
    Inventors: Keiko Sakuma, Akio Kaneko, Hidenori Miyagawa, Yuuichi Kamimuta
  • Patent number: 11404273
    Abstract: The present disclosure provides a semiconductor structure and a forming method thereof. One form of a forming method includes: providing a base; forming a plurality of discrete mandrel layers on the base, where an extending direction of the mandrel layers is a first direction, and a direction perpendicular to the first direction is a second direction; forming a plurality of spacer layers covering side walls of the mandrel layers; forming a pattern transfer layer on the base, where the pattern transfer layer covers side walls of the spacer layers; forming a first trench in the pattern transfer layer between adjacent spacer layers in the second direction; removing a mandrel layer to form a second trench after the first trench is formed; and etching the base along the first trench and the second trench to form a target pattern by using the pattern transfer layer and the spacer layer as a mask. In the present disclosure, the accuracy of the pattern transfer is improved.
    Type: Grant
    Filed: January 22, 2021
    Date of Patent: August 2, 2022
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: Zhu Chen, He Zuopeng, Yang Ming, Yao Dalin, Bei Duohui
  • Patent number: 11380582
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes the following steps: forming a lining layer on a substrate and a plurality of gate structures; forming a first spacer layer on the lining layer; forming a stop layer on the first spacer layer; forming a first sacrificial layer on the stop layer and between the gate structures; removing a portion of the first sacrificial layer so that the top surface of the first sacrificial layer is located between the upper portions of the gate structures; forming a second spacer layer on the first sacrificial layer and the gate structures; and removing a portion of the second spacer layer so that the remaining second spacer layer is located between the upper portions of the gate structures.
    Type: Grant
    Filed: October 1, 2020
    Date of Patent: July 5, 2022
    Assignee: WINBOND ELECTRONICS CORP.
    Inventor: Che-Fu Chuang
  • Patent number: 11325348
    Abstract: A method for producing a carbon nanotube-metal composite in which carbon nanotubes are layered on a metal substrate, the method comprising: (i) depositing a liquid, in which carbon nanotubes are suspended, onto said metal substrate; (ii) during or after step (i), subjecting said liquid to a shearing force sufficient to spatially confine the liquid to induce at least partial alignment of said carbon nanotubes on said metal substrate; and (iii) removing said liquid to produce said carbon nanotube-metal composite; wherein, after step (iii), the lengthwise dimensions of said carbon nanotubes are adhered to and oriented parallel with said metal surface, and said carbon nanotubes are at least partially aligned with each other. In some embodiments, the liquid is deposited in the form of droplets, and the droplets are subjected to a shearing force to cause them to elongate, which induces at least partial alignment of the carbon nanotubes.
    Type: Grant
    Filed: May 22, 2018
    Date of Patent: May 10, 2022
    Assignee: UT-Battelle, LLC
    Inventors: Tolga Aytug, Ilia N. Ivanov, Mina Yoon, Xiangtao Meng, Soydan Ozcan
  • Patent number: 11289363
    Abstract: A method of manufacturing a semiconductor device includes: providing a substrate, forming a first opening, forming a first insulating layer, forming a second opening, embedding a conductive layer, forming a protective layer, and performing CMP. The substrate includes a semiconductor substrate and a semiconducting layer. The conductive layer is embedded in the second opening so that a gap along a thickness direction of the semiconducting layer is formed. The protective layer is formed in the second opening on at least a portion of a surfaces of the conductive layer. In the CMP step, a portion of the conductive layers formed outside the second opening is removed.
    Type: Grant
    Filed: May 12, 2020
    Date of Patent: March 29, 2022
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Shigeo Tokumitsu, Yoshiki Maruyama, Satoshi Iida
  • Patent number: 11225712
    Abstract: A method for depositing tungsten includes arranging a substrate including a titanium nitride layer in a substrate processing chamber and performing multi-stage atomic layer deposition of tungsten on the substrate using a precursor gas includes tungsten chloride (WCIx) gas, wherein x is an integer. The performing includes depositing the tungsten during a first ALD stage using a first dose intensity of the precursor gas, and depositing the tungsten during a second ALD stage using a second dose intensity of the precursor gas. The first dose intensity is based on a first dose concentration and a first dose period. The second dose intensity is based on a second dose concentration and a second dose period. The second dose intensity is 1.5 to 10 times the first dose intensity.
    Type: Grant
    Filed: February 25, 2019
    Date of Patent: January 18, 2022
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Joshua Collins, Siew Neo, Hanna Bamnolker, Kapil Umesh Sawlani
  • Patent number: 11222915
    Abstract: The present disclosure, in some embodiments, relates to a method of forming an integrated chip. The method may be performed by forming a plurality of interconnect layers within a dielectric structure over an upper surface of a substrate. A passivation structure is formed over the dielectric structure. The passivation structure has sidewalls and a horizontally extending surface defining has a recess within an upper surface of the passivation structure. A bond pad is formed having a lower surface overlying the horizontally extending surface and one or more protrusions extending outward from the lower surface. The one or more protrusions extend through one or more openings within the horizontally extending surface to contact a first one of the plurality of interconnect layers. An upper passivation layer is deposited on sidewalls and an upper surface of the bond pad and on sidewalls and the upper surface of the passivation structure.
    Type: Grant
    Filed: July 20, 2018
    Date of Patent: January 11, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kai-Chun Hsu, Ching-Chun Wang, Dun-Nian Yaung, Jeng-Shyan Lin, Shyh-Fann Ting
  • Patent number: 11205700
    Abstract: A method of forming an air-gap spacer in a semiconductor device includes providing a device including a gate stack, a plurality of spacer layers disposed on a sidewall of the gate stack, and a source/drain feature adjacent to the gate stack. In some embodiments, a first spacer layer of the plurality of spacer layers is removed to form an air gap on the sidewall of the gate stack. In various examples, a first sealing layer is then deposited over a top portion of the air gap to form a sealed air gap, and a second sealing layer is deposited over the first sealing layer. Thereafter, a first self-aligned contact (SAC) layer is etched from over the source/drain feature using a first etching process. In various embodiments, the first etching process selectively etches the first SAC layer while the first and second sealing layers remain unetched.
    Type: Grant
    Filed: July 5, 2019
    Date of Patent: December 21, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chan Syun David Yang, Li-Te Lin
  • Patent number: 11133178
    Abstract: Methods for filling a substrate feature with a seamless dielectric gap fill are described. Methods comprise sequentially depositing a film with a seam, etching the the film to form a recess, and depositing a second film in the recess to form a seamless gap fill.
    Type: Grant
    Filed: September 20, 2019
    Date of Patent: September 28, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mihaela Balseanu, Ning Li
  • Patent number: 10964648
    Abstract: Various methods and structures for fabricating a semiconductor chip structure comprising a chip identification “fingerprint” layer. A semiconductor chip structure includes a substrate and a chip identification layer disposed on the substrate, the chip identification layer comprising random patterns of electrically conductive material in trenches formed in a semiconductor layer. The chip identification layer is sandwiched between two layers of electrodes that have a crossbar structure. A first crossbar in the crossbar structure is located on a first side of the chip identification layer and includes a first set of electrical contacts in a first grid pattern contacting the first side of the chip identification layer. A second crossbar in the crossbar structure is located on a second side of the chip identification layer and includes a second set of electrical contacts in a second grid pattern contacting the second side of the chip identification layer.
    Type: Grant
    Filed: April 24, 2017
    Date of Patent: March 30, 2021
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Shawn P. Fetterolf, Chi-Chun Liu
  • Patent number: 10879112
    Abstract: A method of forming a via and a wiring structure formed are disclosed. The method may include forming a conductive line in a first dielectric layer; forming a hard mask adjacent to the conductive line after the conductive line forming; forming a second dielectric layer over the hard mask; and forming a via opening to the conductive line in the second dielectric layer. The via opening lands at least partially on the hard mask to self-align the via opening to the conductive line. A via may be formed by filling the via opening with a conductor.
    Type: Grant
    Filed: March 23, 2018
    Date of Patent: December 29, 2020
    Assignee: GlobalFoundries Inc.
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 10833119
    Abstract: The present disclosure relates to an integrated circuit having a bond pad with a relatively flat surface topography that mitigates damage to underlying layers. In some embodiments, the integrated circuit has a plurality of metal interconnect layers within a dielectric structure over a substrate. A passivation structure is arranged over the dielectric structure. The passivation structure has a recess with sidewalls connecting a horizontal surface of the passivation structure to an upper surface of the passivation structure. A bond pad is arranged within the recess and has a lower surface overlying the horizontal surface. One or more protrusions extend outward from the lower surface through openings in the passivation structure to contact one of the metal interconnect layers. Arranging the bond pad within the recess and over the passivation structure mitigates stress to underlying layers during bonding without negatively impacting an efficiency of an image sensing element within the substrate.
    Type: Grant
    Filed: May 9, 2016
    Date of Patent: November 10, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kai-Chun Hsu, Ching-Chun Wang, Dun-Nian Yaung, Jeng-Shyan Lin, Shyh-Fann Ting
  • Patent number: 10761388
    Abstract: In some embodiments of the present disclosure, it is provided a display panel and a display. The space between the third wire and the gate line of the display panel is greater than the original thickness of the first insulating layer. Since the capacitance is inversely proportional to the space and the signal delay time is proportional to the capacitance, the signal delay time of the display panel can be reduced.
    Type: Grant
    Filed: November 9, 2018
    Date of Patent: September 1, 2020
    Assignee: HKC CORPORATION LIMITED
    Inventor: Zhongnian Liu
  • Patent number: 10714568
    Abstract: A method for producing a planar free surface comprising embedded, contactable nanostructures includes arranging at least one nanostructure on a surface of an initial substrate; applying a first layer to the surface of the initial substrate, wherein the first layer embeds the at least one nanostructure; applying a target substrate to the first layer; and separating the initial substrate from the first layer such that the at least one nanostructure embedded in the first layer has a planar free surface. An additional layer is applied to the surface of the initial substrate before the at least one nanostructure is applied to the initial substrate, and in that the initial substrate is removed from the first layer using a solvent.
    Type: Grant
    Filed: October 22, 2016
    Date of Patent: July 14, 2020
    Assignee: FORSCHUNGZENTRUM JUELICH GMBH
    Inventors: Sebastian Heedt, Julian Gerharz, Thomas Schaepers, Detlev Gruetzmacher
  • Patent number: 10522511
    Abstract: A semiconductor package includes a package substrate, a first semiconductor chip on the package substrate, an encapsulant layer covering the first semiconductor chip, bar patterns disposed within the package substrate, each bar pattern having a first end and a second end. An encapsulant layer formed to cover at least the bar patterns and the first semiconductor chip, wherein the semiconductor package having the encapsulant layer has a side surface with exposing one or more second ends of the bar patterns, wherein the bar patterns having different lengths are positioned substantially along a predetermined direction with respect to the first semiconductor chip such that the one or more second ends of the bar patterns exposed through the side surface of the semiconductor package indicate a distance between the side surface and the first semiconductor chip.
    Type: Grant
    Filed: April 24, 2018
    Date of Patent: December 31, 2019
    Assignee: SK hynix Inc.
    Inventor: Moon Soo Kim
  • Patent number: 10490624
    Abstract: A process for manufacturing colloidal nanosheet, by lateral growth, on an initial colloidal nanocrystal, of a crystalline semiconductor material represented by the formula MnXy, where M is a transition metal and X a chalcogen. The process includes the following steps: The preparation of a first organic solution, non or barely coordinating used as a synthesis solvent and including at least one initial colloidal nanocrystal; The preparation of a second organic solution including precursors of M and X, and including an acetate salt. And the slow introduction over a predetermined time scale of a predetermined amount of the second solution in a predetermined amount of the first solution, at a predetermined temperature for the growth of nanosheets. The use of the obtained material is also presented.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: November 26, 2019
    Assignee: NEXDOT
    Inventors: Benoit Mahler, Sandrine Ithurria
  • Patent number: 10422746
    Abstract: A method of forming a semiconductor structure includes patterning one or more fin structures disposed over a top surface of a substrate, a given one of the fin structures comprising a first semiconductor layer comprising a first material disposed over the top surface of the substrate and a second semiconductor layer comprising a second material disposed over a top surface of the first semiconductor layer. The method further includes forming a liner over the one or more fin structures, and performing an anneal process to form one or more nanoscale features in a top surface of the second semiconductor layer. The second material exhibits enhanced diffusion, relative to the first material, at an interface of the liner and sidewalls of the given fin structure.
    Type: Grant
    Filed: December 13, 2017
    Date of Patent: September 24, 2019
    Assignee: International Business Machines Corporation
    Inventors: Juntao Li, Kangguo Cheng, Qing Cao
  • Patent number: 10242984
    Abstract: According to example embodiments, a semiconductor device and a method for manufacturing the same are provided, the semiconductor device includes a substrate including a PMOSFET region and an NMOSFET region, a first gate electrode and a second gate electrode on the PMOSFET region, a third gate electrode and a fourth gate electrode on the NMOSFET region, and a first contact and a second contact connected to the first gate electrode and the fourth gate electrode, respectively. The first to fourth gate cut electrodes define a gate cut region that passes between the first and third gate electrodes and between the second and fourth gate electrodes. A portion of each of the first and second contacts overlaps with the gate cut region when viewed from a plan view.
    Type: Grant
    Filed: June 6, 2017
    Date of Patent: March 26, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Panjae Park, Sutae Kim, Donghyun Kim, Ha-Young Kim, Jung-ho Do, Sunyoung Park, Sanghoon Baek, Jaewan Choi
  • Patent number: 10229850
    Abstract: Methods of patterning a structure. A first hardmask layer is deposited on a second hardmask layer. A cut is formed that penetrates through the first hardmask layer and the second hardmask layer. A block mask is formed in the cut. The first hardmask layer is patterned to form first lines penetrating through the first hardmask layer to the second hardmask layer with at least one of the first lines superimposed on the block mask. After patterning the first hardmask layer, the second hardmask layer is patterned to transfer the first lines from the first hardmask layer to the second hardmask layer to form second lines penetrating through the second hardmask layer. The second hardmask layer is etched with an isotropic etching process that removes the second hardmask layer selective to the first hardmask layer such that the second lines are widened relative to the first lines.
    Type: Grant
    Filed: January 2, 2018
    Date of Patent: March 12, 2019
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Guillaume Bouche
  • Patent number: 10214807
    Abstract: A method for depositing tungsten includes arranging a substrate including a titanium nitride layer in a substrate processing chamber and performing multi-stage atomic layer deposition of tungsten on the substrate using a precursor gas includes tungsten chloride (WClx) gas, wherein x is an integer. The performing includes depositing the tungsten during a first ALD stage using a first dose intensity of the precursor gas, and depositing the tungsten during a second ALD stage using a second dose intensity of the precursor gas. The first dose intensity is based on a first dose concentration and a first dose period. The second dose intensity is based on a second dose concentration and a second dose period. The second dose intensity is 1.5 to 10 times the first dose intensity.
    Type: Grant
    Filed: June 2, 2016
    Date of Patent: February 26, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Joshua Collins, Siew Neo, Hanna Bamnolker, Kapil Umesh Sawlani
  • Patent number: 10153171
    Abstract: A method of forming patterns, patterns formed according to the method, and a semiconductor device including the patterns, the method including forming an etching subject layer on a substrate, forming a first layer on the etching subject layer such that the first layer has a projecting pattern, forming a second layer such that the second layer completely covers the projecting pattern of the first layer, partially removing the second layer such that a top of the projecting pattern is exposed and a patterned second layer remains at a side of the projecting pattern, removing the first layer such that a top of the etching subject layer is exposed, and etching the etching subject layer using the patterned second layer as an etching mask, wherein one of the first layer and the second layer is a carbon-containing layer and the other is a silicon-containing layer, and the silicon-containing layer is formed by coating a silicon-containing composition and heat-treating the same.
    Type: Grant
    Filed: September 14, 2017
    Date of Patent: December 11, 2018
    Assignee: SAMSUNG SDI CO., LTD.
    Inventors: Huichan Yun, TaekSoo Kwak, Jin-Hee Bae, Jinwoo Seo, Kunbae Noh, Junyoung Jang
  • Patent number: 10116037
    Abstract: An electronic device includes a support plate having a mounting face and an electrical connection network. An integrated circuit chip is mounted on the mounting face and linked to the electrical connection network. An en encapsulation block embeds the integrated circuit chip. An additional element made of an electrically conductive material is at least partly embedded within the encapsulation block. The additional conductive element has a main portion extending parallel to the support plate and has a secondary portion that is linked electrically to the integrated circuit chip. An opening is formed in the encapsulation block, and the secondary portion extends into that opening to make the electrical link. The additional conductive element may be an antenna.
    Type: Grant
    Filed: August 4, 2017
    Date of Patent: October 30, 2018
    Assignees: STMicroelectronics (Alps) SAS, STMicroelectronics (Grenoble 2) SAS
    Inventors: David Auchere, Laurent Marechal, Yvon Imbs, Laurent Schwarz
  • Patent number: 10079147
    Abstract: A method of forming interconnects for semiconductor devices includes forming a lower insulating layer and a lower interconnect on a semiconductor substrate, forming an insulating pattern layer on the lower interconnect through self-assembly, forming an interlayer insulating layer and a trench mask on the insulating pattern layer, forming a preparatory via hole allowing the insulating pattern layer to be exposed by removing a portion of the interlayer insulating layer, forming a trench by etching the interlayer insulating layer using the trench mask, forming a via hole allowing the lower interconnect to be exposed by selectively etching the insulating pattern layer within the preparatory via hole, and filling the trench and the via hole with an conductive material.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: September 18, 2018
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yong Kong Siew, Sung Yup Jung
  • Patent number: 10062560
    Abstract: Aspects of the present disclosure provide a method of cleaning a semiconductor device. The method includes providing a semiconductor wafer having an exposed cobalt surface and rinsing the exposed cobalt surface with cathode water having a pH greater than 9 and an oxidation reduction potential of less than 0.0.
    Type: Grant
    Filed: April 26, 2017
    Date of Patent: August 28, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Kevin J. Ryan, Shariq Siddiqui, Frank W. Mont, Cornelius B. Peethala
  • Patent number: 9960180
    Abstract: Memory openings can be formed through an alternating stack of insulating layers and sacrificial material layers. Memory stack structures including charge storage elements can be formed in the memory openings. Inter-level charge leakage in a three-dimensional memory device including a charge trapping layer can be minimized by employing a thin continuous charge trapping material layer within each memory opening. After removal of the sacrificial material layers and formation of backside recesses, discrete charge trapping material portions can be formed by selective growth of a charge trapping material from physically exposed surfaces of each thin continuous charge trapping material layer. The discrete charge trapping material portions can function as primary charge storage regions, and inter-level charge leakage can be minimized by the small thickness of the thin continuous charge trapping material layer.
    Type: Grant
    Filed: March 27, 2017
    Date of Patent: May 1, 2018
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventors: Fei Zhou, Raghuveer Makala, Rahul Sharangpani, Keerti Shukla, Yanli Zhang, Peng Zhang
  • Patent number: 9899256
    Abstract: A conductive line structure comprises a first conductive line arranged in a first dielectric layer, a second conductive line arranged in the first dielectric layer, a cap layer arranged on the first conductive line and the second conductive line, and an airgap arranged between the first conductive line and the second conductive line, the airgap defined by the first dielectric layer and the cap layer.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: February 20, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Benjamin D. Briggs, Lawrence A. Clevenger, Bartlet H. Deprospo, Huai Huang, Christopher J. Penny, Michael Rizzolo
  • Patent number: 9842775
    Abstract: A semiconductor device has a conductive via in a first surface of a substrate. A first interconnect structure is formed over the first surface of the substrate. A first bump is formed over the first interconnect structure. The first bump is formed over or offset from the conductive via. An encapsulant is deposited over the first bump and first interconnect structure. A portion of the encapsulant is removed to expose the first bump. A portion of a second surface of the substrate is removed to expose the conductive via. The encapsulant provides structural support and eliminates the need for a separate carrier wafer when thinning the substrate. A second interconnect structure is formed over the second surface of the substrate. A second bump is formed over the first bump. A plurality of semiconductor devices can be stacked and electrically connected through the conductive via.
    Type: Grant
    Filed: July 25, 2016
    Date of Patent: December 12, 2017
    Assignee: STATS ChipPAC Pte. Ltd.
    Inventors: Pandi C. Marimuthu, Shuangwu Huang, Nathapong Suthiwongsunthorn
  • Patent number: 9782773
    Abstract: A technique relates to a nanogap array. A substrate has been anisotropically etched with trenches that have tapered sidewalls. A sacrificial layer is on bottoms and the tapered sidewalls of the trenches. A filling material is formed on top of the sacrificial layer in the trenches. Nanogaps are formed where at least a portion of the sacrificial layer has been removed from the tapered sidewalls of the trenches while the sacrificial layer remains on the bottoms of the trenches. Each of the nanogaps is formed between one tapered sidewall of the substrate and a corresponding tapered sidewall of the filling material. The one tapered sidewall of the substrate opposes the corresponding tapered sidewall. A capping layer is disposed on top of the substrate and the filling material, such that the nanogaps are covered but not filled in.
    Type: Grant
    Filed: November 24, 2015
    Date of Patent: October 10, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yann A. Astier, Markus Brink, Michael F. Lofaro, Joshua T. Smith
  • Patent number: 9761599
    Abstract: Some embodiments include an integrated structure having a stack of alternating dielectric levels and conductive levels, and having vertically-stacked memory cells within the conductive levels. An opening extends through the stack. Channel material is within the opening and along the memory cells. At least some of the channel material contains germanium.
    Type: Grant
    Filed: August 17, 2015
    Date of Patent: September 12, 2017
    Assignee: Micron Technology, Inc.
    Inventors: Haitao Liu, Chandra Mouli, Sergei Koveshnikov, Dimitrios Pavlopoulos, Guangyu Gavin Huang
  • Patent number: 9741854
    Abstract: There is provided a method for manufacturing a semiconductor device including a substrate including a plurality of active regions, a plurality of gate electrodes extending in a first direction to intersect a portion of the plurality of active regions, and including first and second gate electrodes disposed to be adjacent to each other in the first direction, a gate isolation portion disposed between the first and second gate electrodes. The gate isolation portion includes a first layer and second layers disposed on both ends of the first layer in a second direction perpendicular to the first direction.
    Type: Grant
    Filed: December 4, 2015
    Date of Patent: August 22, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Keun Hee Bai, Kyoung Hwan Yeo, Seung Seok Ha, Seung Ju Park, Do Hyoung Kim, Myeong Cheol Kim, Jae Hyoung Koo, Ki Byung Park
  • Patent number: 9589899
    Abstract: In a semiconductor device, a first gate structure having a first end portion is formed on a substrate. A second gate structure is formed on the substrate, and has a second end portion opposite to the first end portion of the first gate structure in a diagonal direction. A cross-coupling pattern is formed between the first and second gate structure, and electrically connects the first and second gate structures to each other. A first contact plug directly contacts an upper portion of the first end portion of the first gate structure and a first upper sidewall of the cross-coupling pattern. A second contact plug directly contacts an upper portion of the second end portion of the second gate structure and a second upper sidewall of the cross-coupling pattern. In the semiconductor device, a parasitic capacitance due to the cross-coupling structure may decrease.
    Type: Grant
    Filed: July 17, 2015
    Date of Patent: March 7, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hwi-Chan Jun, Dae-Hee Weon, Heon-Jong Shin, Yu-Sun Lee
  • Patent number: 9530824
    Abstract: A monolithic three-dimensional memory array is provided that includes a plurality of global bit lines disposed above a substrate, each global bit line having a long axis, a plurality of vertically-oriented bit lines disposed above the global bit lines, a plurality of word lines disposed above the global bit lines, a plurality of memory cells coupled between the vertically-oriented bit lines and the word lines, and a plurality of vertically-oriented bit line select transistors coupled between the vertically-oriented bit lines and the global bit lines, each vertically-oriented bit line select transistor comprising a width and a thickness. Vertically-oriented bit line select transistors disposed above adjacent global bit lines are offset from one another in a direction along the long axis of the global bit lines. The width of each vertically-oriented bit line select transistor is greater than the thickness of the vertically-oriented bit line select transistors.
    Type: Grant
    Filed: November 14, 2014
    Date of Patent: December 27, 2016
    Assignee: SanDisk Technologies LLC
    Inventors: Seje Takaki, Yoshio Mori