Of Organo-metallic Precursor (i.e., Mocvd) Patents (Class 438/681)
  • Patent number: 11901183
    Abstract: A method of forming a semiconductor device includes forming source/drain regions on opposing sides of a gate structure, where the gate structure is over a fin and surrounded by a first dielectric layer; forming openings in the first dielectric layer to expose the source/drain regions; selectively forming silicide regions in the openings on the source/drain regions using a plasma-enhanced chemical vapor deposition (PECVD) process; and filling the openings with an electrically conductive material.
    Type: Grant
    Filed: August 9, 2021
    Date of Patent: February 13, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Min-Hsiu Hung, Chien Chang, Yi-Hsiang Chao, Hung-Yi Huang, Chih-Wei Chang
  • Patent number: 11827650
    Abstract: Provided are a method of manufacturing a ruthenium-containing thin film and a ruthenium-containing thin film manufactured therefrom, and the method of manufacturing a ruthenium-containing thin film of the present invention uses a ruthenium(0)-based hydrocarbon compound and specific reaction gas, whereby a high-purity thin film may be easily manufactured by a simple process.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: November 28, 2023
    Assignee: DNF CO., LTD.
    Inventors: Myong Woon Kim, Sang Ick Lee, Sung Woo Cho, Mi Jeong Han, Haeng Don Lim
  • Patent number: 11823870
    Abstract: A method of depositing titanium nitride is disclosed. Some embodiments of the disclosure provide a PEALD process for depositing titanium nitride which utilizes a direct microwave plasma. In some embodiments, the direct microwave plasma has a high plasma density and low ion energy. In some embodiments, the plasma is generated directly above the substrate surface.
    Type: Grant
    Filed: August 11, 2020
    Date of Patent: November 21, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Hanhong Chen, Arkaprava Dan, Joseph AuBuchon, Kyoung Ha Kim, Philip A. Kraus
  • Patent number: 11742236
    Abstract: Methods and devices are provided herein for enhancing robustness of a bipolar electrostatic discharge (ESD) device. The robustness of a bipolar ESD device includes providing an emitter region and a collector region adjacent to the emitter region. An isolation structure is provided between the emitter region and the collector region. A ballasting characteristic at the isolation structure is modified by inserting at least one partition structure therein. Each partition structure extends substantially abreast at least one of the emitter and the collector regions.
    Type: Grant
    Filed: November 30, 2020
    Date of Patent: August 29, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Alexander Kalnitsky, Jen-Chou Tseng, Chia-Wei Hsu, Ming-Fu Tsai
  • Patent number: 11629410
    Abstract: Preparation containing: (A) 30 to 90% by weight of at least one organic solvent; (B) 10 to 70% by weight of at least one platinum complex of the type [L1L2Pt[O(CO)R1]X]n, wherein L1 and L2 represent the same or different monoolefin ligands, or together represent a compound L1L2 acting as a diolefin ligand, wherein X is selected from bromide, chloride, iodide, and —O(CO)R2, wherein —O(CO)R1 and —O(CO)R2 represent the same or different C6-C18 non-aromatic monocarboxylic acid groups, or together represent a C8-C18 non-aromatic dicarboxylic acid group —O(CO)R1 R2(CO)O—, wherein they are mononuclear platinum complexes with n=1, or wherein, if L1L2 and/or —O(CO)R1 R2(CO)O— are present, they may be polynuclear platinum complexes with a whole number n>1, and (C) 0 to 10% by weight of at least one additive.
    Type: Grant
    Filed: July 9, 2020
    Date of Patent: April 18, 2023
    Assignee: HERAEUS DEUTSCHLAND GMBH & CO. KG
    Inventors: Robert Sievi, Michael Gock, Richard Walter, Holger Ulland
  • Patent number: 11482452
    Abstract: In a method of forming a contact plug in a semiconductor integrated circuit device, the contact plug may be formed in a process chamber of a substrate-processing apparatus. The process chamber may have a process space. The process chamber may include a substrate supporter placed in a lower region of the process space to support a semiconductor substrate, and a gas injector placed in an upper region of the process space to inject a gas to the semiconductor substrate. An insulating interlayer having a contact hole may be formed on the semiconductor substrate loaded into the process space. A nucleation layer may be formed on an inner surface of the contact hole and an upper surface of the insulating interlayer. A semi-bulk layer may be formed on the nucleation layer in a lower region of the contact hole. An inhibiting layer may be formed on the semi-bulk layer and the exposed nucleation layer. A main-bulk layer may be formed on the semi-bulk layer to fill the contact hole with the main-bulk layer.
    Type: Grant
    Filed: December 24, 2020
    Date of Patent: October 25, 2022
    Assignee: WONIK IPS CO., LTD
    Inventors: Won Jun Yoon, Woo Hoon Sun, Seok Kyu Choi, Tae Sung Han, Dong Woo Kim, Jin Wu Park
  • Patent number: 11155919
    Abstract: Atomic layer deposition (ALD) type processes for producing metal containing thin films comprise feeding into a reaction space vapor phase pulses of metal containing cyclopentadienyl precursors as a metal source material. In preferred embodiments the metal containing cyclopentadienyl reactant comprises a metal atom that is not directly bonded to an oxygen or halide atom. In other embodiments the metal atom is bonded to a cyclopentadienyl compound and separately bonded to at least one ligand via a nitrogen atom. In still other embodiments the metal containing cyclopentadienyl compound comprises a nitrogen-bridged ligand.
    Type: Grant
    Filed: May 14, 2019
    Date of Patent: October 26, 2021
    Assignee: ASM IP HOLDING B.V.
    Inventors: Sean T. Barry, Yamile A. M. Wasslen, Antti H. Rahtu
  • Patent number: 11078224
    Abstract: Metal coordination complexes comprising at least one diazabutadiene based ligand having a structure represented by: where A1, A2, A3, and A4 are atoms in a 6-membered ring and are independently selected from C, N, O, S, and P; and where R1, R2, R3, R4, R5, and R6 are independently selected from the group consisting of H, amino groups, C1-C6 alkyl groups, or C4-10 cycloalkyl groups; and further provided that alkyl groups may optionally contain silicon; and where the metal coordination complex is capable of participating in a Diels-Alder type reaction with a dienophile. Processing methods using the metal coordination complexes are also described.
    Type: Grant
    Filed: April 6, 2018
    Date of Patent: August 3, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jeffrey W. Anthis, Atashi Basu
  • Patent number: 11056385
    Abstract: Metallic layers can be selectively deposited on one surface of a substrate relative to a second surface of the substrate. In some embodiments, the metallic layers are selectively deposited on copper instead of insulating or dielectric materials. In some embodiments, a first precursor forms a layer on the first surface and is subsequently reacted or converted to form a metallic layer. The deposition temperature may be selected such that a selectivity of above about 50% or even about 90% is achieved.
    Type: Grant
    Filed: December 7, 2018
    Date of Patent: July 6, 2021
    Assignee: ASM INTERNATIONAL N.V.
    Inventors: Suvi P. Haukka, Antti Niskanen, Marko Tuominen
  • Patent number: 10961620
    Abstract: The invention relates to a method for fabricating a plasma etch-resistant film (1) on a surface of a substrate (2), wherein the method comprises the step of forming a film comprising an intermediate layer (4) of rare earth metal oxide, rare earth metal carbonate, or rare earth metal oxycarbonate, or anymixture thereof on a first layer (3) of rare earth metal oxide, wherein the rare earth metal is the same in the first layer and in the intermediate layer. The invention further relates to a plasma etch-resistant film and to the use thereof.
    Type: Grant
    Filed: March 3, 2017
    Date of Patent: March 30, 2021
    Assignee: BENEQ OY
    Inventors: Pekka J. Soininen, Vasil Vorsa, Mohammad Ameen
  • Patent number: 10600643
    Abstract: A method of forming a thin film and an integrated circuit device, including forming a first reaction inhibiting layer chemisorbed on a first portion of a lower film by supplying a reaction inhibiting compound having a carbonyl group to an exposed surface of the lower film at a temperature of about 300° C. to about 600° C.; forming a first precursor layer of a first material chemisorbed on a second portion of the lower film at a temperature of about 300° C. to about 600° C., the second portion being exposed through the first reaction inhibiting layer; and forming a first monolayer containing the first material on the lower film by supplying a reactive gas to the first reaction inhibiting layer and the first precursor layer and removing the first reaction inhibiting layer from the surface of the lower film, and thus exposing the first portion.
    Type: Grant
    Filed: January 10, 2018
    Date of Patent: March 24, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyu-hee Park, Youn-soo Kim, Hyun-jun Kim, Jin-sun Lee, Jae-soon Lim
  • Patent number: 10487398
    Abstract: Methods for depositing a film comprising exposing a substrate surface to a metal precursor and a hydrazine derivative to form a metal containing film are described.
    Type: Grant
    Filed: December 4, 2017
    Date of Patent: November 26, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Byunghoon Yoon, Seshadri Ganguli, Siddarth Krishnan, Paul F. Ma, Sang Ho Yu
  • Patent number: 10388513
    Abstract: A Si-free C-containing film having filling capability is deposited by forming a viscous polymer in a gas phase by striking an Ar, He, or N2 plasma in a chamber filled with a volatile hydrocarbon precursor that can be polymerized within certain parameter ranges which define mainly partial pressure of precursor during a plasma strike, and wafer temperature.
    Type: Grant
    Filed: July 3, 2018
    Date of Patent: August 20, 2019
    Assignee: ASM IP Holding B.V.
    Inventor: Timothee Julien Vincent Blanquart
  • Patent number: 10286713
    Abstract: Methods and chemistries are described to form electrically conductive adhesion promoters for use with reactive inks. In some implementations, a metal ink is printed on a substrate. An adhesion promoter is deposited on the surface of the substrate. The adhesion promoter reacts to form a covalent bond with the substrate. Subsequently, a reactive metal ink is used to print on a substrate using a drop-on-demand printing process. The reactive metal ink includes metal cations that react with the adhesion promoter-treated substrate surface to form a conductive bond between the adhesion promoter-treated substrate surface and a metal of the reactive metal ink.
    Type: Grant
    Filed: October 11, 2017
    Date of Patent: May 14, 2019
    Assignee: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Owen Hildreth, April Jeffries, Avinash Mamidanna, Mariana Bertoni
  • Patent number: 10118828
    Abstract: Aluminum (Al) hydrocarbon precursor compositions are provided that can be used for vapor deposition of transition metal carbide thin films, for example aluminum-doped transition metal carbide thin films such as Al-doped titanium carbide thin films. In some embodiments, the precursor compositions comprise one or more isomers of tritertbutyl aluminum (TTBA). In some embodiments the precursor compositions comprise at least 50% of Isomer 1 of TTBA, at least 50% of Isomer 2 of TTBA, or at least 20% of a combination of Isomer 1 and Isomer 2, where Isomer 1 has the formula Al(tert-Bu)2(iso-Bu) and Isomer 2 has the formula Al(tert-Bu)(iso-Bu)2. A container containing a precursor composition comprising at least 50% of Isomer 1 or Isomer 2 or at least 20% of a combination of Isomer 1 and 2 of TTBA can be attached to a vapor deposition reactor and used to deposit transition metal carbide thin films such as Al-doped titanium carbide thin films by atomic layer deposition or chemical vapor deposition.
    Type: Grant
    Filed: August 17, 2016
    Date of Patent: November 6, 2018
    Assignee: ASM IP HOLDING B.V.
    Inventors: Eric J. Shero, Mohith E. Verghese
  • Patent number: 10053775
    Abstract: Methods of using Si-containing film forming compositions to deposit silicon-containing films using vapor deposition processes are disclosed. The disclosed Si-containing film forming composition comprises an amino(bromo)silane precursor having the formula: SiHxBry(NR1R2)4?x?y wherein x=0, 1 or 2; y=1, 2 or 3; x+y<4; each R1 and R2 is independently selected from C1-C6 alkyl, aryl, or hetero group; and R1 and R2 may be joined to form a cyclic nitrogen-containing heterocycle. The disclosed Si-containing film forming compositions include an amino(bromo)silane precursor selected from the group consisting of SiH2Br(NEt2), SiH2Br(N(iPr)2), SiH2Br(N(iBu)2) and SiBr(NMe2)3.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: August 21, 2018
    Assignees: L'Air Liquide, Societé Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude, American Air Liquide, Inc.
    Inventors: Glenn Kuchenbeiser, Venkateswara R. Pallem, Nicolas Blasco, Jean-Marc Girard
  • Patent number: 9953927
    Abstract: Structures for a liner replacement in an interconnect structure and methods for forming a liner replacement in an interconnect structure. A metallization level is formed that includes a conductive feature. A dielectric layer is formed on the metallization level. The dielectric layer includes an opening that extends vertically through the dielectric layer to the conductive feature. An adhesion layer is formed on area of the conductive feature exposed at a base of the opening. The adhesion layer has a thickness equal to a monolayer or a fraction of a monolayer. Another layer (e.g., barrier layer) of a different composition (e.g., TiN) may be deposited on the adhesion layer before the opening is filled with metal deposited by chemical vapor deposition.
    Type: Grant
    Filed: April 26, 2017
    Date of Patent: April 24, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Yun-Yu Wang, Daniel P. Stambaugh, Jeffrey Brown, Keith Kwong Hon Wong
  • Patent number: 9698454
    Abstract: A process for forming a coating for an RF window which has improved secondary electron emission and reduced multipactor for high power RF waveguides is formed from a substrate with low loss tangent and desirable mechanical characteristics. The substrate has an RPAO deposition layer applied which oxygenates the surface of the substrate to remove carbon impurities, thereafter has an RPAN deposition layer applied to nitrogen activate the surface of the substrate, after which a TiN deposition layer is applied using Titanium tert-butoxide. The TiN deposition layer is capped with a final RPAN deposition layer of nitridation to reduce the bound oxygen in the TiN deposition layer. The resulting RF window has greatly improved titanium layer adhesion, reduced multipactor, and is able to withstand greater RF power levels than provided by the prior art.
    Type: Grant
    Filed: July 9, 2013
    Date of Patent: July 4, 2017
    Assignee: Calabazas Creek Research, Inc.
    Inventors: R. Lawrence Ives, Gerald Lucovsky, Daniel Zeller
  • Patent number: 9633896
    Abstract: Dielectric AlO, AlOC, AlON and AlOCN films characterized by a dielectric constant (k) of less than about 10 and having a density of at least about 2.5 g/cm3 are deposited on partially fabricated semiconductor devices to serve as etch stop layers and/or diffusion barriers. In one implementation, a substrate containing an exposed dielectric layer (e.g., a ULK dielectric) and an exposed metal layer is contacted with an aluminum-containing compound (such as trimethylaluminum) in an iALD process chamber and the aluminum-containing compound is allowed to adsorb onto the surface of the substrate. This step is performed in an absence of plasma. Next, the unadsorbed aluminum-containing compound is removed from the process chamber, and the substrate is treated with a process gas containing CO2 or N2O, and an inert gas in a plasma to form an AlO, AlOC, or AlON layer. These steps are then repeated.
    Type: Grant
    Filed: November 23, 2015
    Date of Patent: April 25, 2017
    Assignee: Lam Research Corporation
    Inventors: Daniel Damjanovic, Pramod Subramonium, Nagraj Shankar
  • Patent number: 9330963
    Abstract: Conformal hermetic dielectric films suitable as dielectric diffusion barriers over 3D topography. In embodiments, the dielectric diffusion barrier includes a dielectric layer, such as a metal oxide, which can be deposited by atomic layer deposition (ALD) techniques with a conformality and density greater than can be achieved in a conventional silicon dioxide-based film deposited by a PECVD process for a thinner contiguous hermetic diffusion barrier. In further embodiments, the diffusion barrier is a multi-layered film including a high-k dielectric layer and a low-k or intermediate-k dielectric layer (e.g., a bi-layer) to reduce the dielectric constant of the diffusion barrier. In other embodiments a silicate of a high-k dielectric layer (e.g., a metal silicate) is formed to lower the k-value of the diffusion barrier by adjusting the silicon content of the silicate while maintaining high film conformality and density.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: May 3, 2016
    Assignee: Intel Corporation
    Inventors: Sean King, Hui Jae Yoo, Sreenivas Kosaraju, Timothy Glassman
  • Patent number: 9263328
    Abstract: One or more embodiments relate to a semiconductor device that includes: a conductive layer including a sidewall; a conductive capping layer disposed over the conductive layer and laterally extending beyond the sidewall of the conductive layer by a lateral overhang; and a conductive via in electrical contact with the conductive capping layer.
    Type: Grant
    Filed: October 31, 2014
    Date of Patent: February 16, 2016
    Assignee: Infineon Technologies AG
    Inventors: Dirk Meinhold, Heinrich Koerner, Wolfgang Dickenscheid
  • Patent number: 9234273
    Abstract: The present invention provides metal-containing compounds that include at least one ?-diketiminate ligand, and methods of making and using the same. In some embodiments, the metal-containing compounds are homoleptic complexes that include unsymmetrical ?-diketiminate ligands. In other embodiments, the metal-containing compounds are heteroleptic complexes including at least one ?-diketiminate ligand. The compounds can be used to deposit metal-containing layers using vapor deposition methods. Vapor deposition systems including the compounds are also provided. Sources for ?-diketiminate ligands are also provided.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: January 12, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Dan Millward, Stefan Uhlenbrock, Timothy A. Quick
  • Patent number: 9136094
    Abstract: A method of operating a plasma processing device includes outputting a first RF power having a first frequency and a first duty ratio, and outputting a second RF power having a second frequency higher than the first frequency and a second duty ratio smaller than the first duty ratio. The outputting of the first RF power and the outputting of the second RF power are synchronized with each other.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: September 15, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jung Hyun Cho, Hyung Joon Kim, Sang Jean Jeon, Sang Heon Lee, Jeong Yun Lee, Kyung Yub Jeon, Vasily Pashkovskiy
  • Patent number: 9090971
    Abstract: Ultra-thin porous films are deposited on a substrate in a process that includes laying down an organic polymer, inorganic material or inorganic-organic material via an atomic layer deposition or molecular layer deposition technique, and then treating the resulting film to introduce pores. The films are characterized in having extremely small thicknesses of pores that are typically well less than 50 nm in size.
    Type: Grant
    Filed: May 11, 2010
    Date of Patent: July 28, 2015
    Assignee: The Regents of the University of Colorado, a body corporate
    Inventors: Alan W. Weimer, Xinhua Liang, Jianhua Li, John L. Falconer, Miao Yu
  • Patent number: 9034760
    Abstract: Methods, apparatus, and systems for depositing tensile or compressive tungsten films are described. In one aspect, a method includes providing a substrate to a chamber. The substrate has a field region and a feature recessed from the field region. Then, the substrate is exposed to an organometallic tungsten precursor. The organometallic tungsten precursor not adsorbed onto the substrate is removed from the chamber. The substrate is treated with a first treatment including a heat treatment or a plasma treatment to form a tungsten layer on the substrate. After treating the substrate, residual gasses are removed from the chamber. The tungsten layer on the substrate is treated with a second treatment including a heat treatment or a plasma treatment.
    Type: Grant
    Filed: June 26, 2013
    Date of Patent: May 19, 2015
    Assignee: Novellus Systems, Inc.
    Inventors: Feng Chen, Tsung-Han Yang, Juwen Gao, Roey Shaviv, Raashina Humayun, Deqi Wang
  • Patent number: 9034761
    Abstract: Disclosed are metal-containing precursors having the formula Compound (I) wherein: —M is a metal selected from Ni, Co, Mn, Pd; and —each of R-1, R2, R3, R4, R5, R6, R7, R8, R9, and R10 are independently selected from H; a C1-C4 linear, branched, or cyclic alkyl group; a C1-C4 linear, branched, or cyclic alkylsilyl group (mono, bis, or tris alkyl); a C1-C4 linear, branched, or cyclic alkylamino group; or a C1-C4 linear, branched, or cyclic fluoroalkyl group. Also disclosed are methods of synthesizing and using the disclosed metal-containing precursors to deposit metal-containing films on a substrate via a vapor deposition process.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: May 19, 2015
    Assignees: L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude, American Air Liquide, Inc.
    Inventors: Clément Lansalot-Matras, Andrey V. Korolev
  • Patent number: 8993441
    Abstract: A method of forming a thin layer and a method of manufacturing a phase change memory device, the method of forming a thin layer including providing a first deposition source onto a substrate, the first deposition source not including tellurium; and providing a second deposition source onto the substrate, the second deposition source including a first tellurium precursor represented by the following Formula 1 and a second tellurium precursor represented by following the Formula 2: Te(CH(CH3)2)2??Formula 1 Ten(CH(CH3)2)2??Formula 2 wherein, in Formula 2, n is an integer greater than or equal to 2.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: March 31, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Do-Hyung Kim, Eun-Tae Kim, Sung-Lae Cho
  • Patent number: 8962496
    Abstract: The process for smoothing a rough surface of a first substrate made of a semiconductor alloy based on at least two elements chosen from Ga, As, Al, In, P and N is implemented by placing a second substrate facing the first substrate so that the rough surface is placed facing a surface of the second substrate. The first and second substrates are separated by a distance d of at least 10 ?m, the facing portions of the two substrates defining a confinement space. The first substrate is then heated so as to partially desorb one of the elements of said alloy and to reach the saturated vapor pressure of this element in the confinement space and to obtain a surface atom mobility that is sufficient to reduce the roughness of the rough surface.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: February 24, 2015
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventors: Thomas Jouanneau, Yann Bogumilowicz
  • Patent number: 8962350
    Abstract: Multi-step deposition of lead-zirconium-titanate (PZT) ferroelectric material. An initial portion of the PZT material is deposited by metalorganic chemical vapor deposition (MOCVD) at a low deposition rate, for example at a temperature below about 640 deg C. from vaporized liquid precursors of lead, zirconium, and titanium, and a solvent at a collective flow rate below about 1.1 ml/min, in combination with an oxidizing gas. Following deposition of the PZT material at the low flow rate, the remainder of the PZT film is deposited at a high deposition rate, attained by changing one or more of precursor and solvent flow rate, oxygen concentration in the oxidizing gas, A/B ratio of the precursors, temperature, and the like.
    Type: Grant
    Filed: January 30, 2014
    Date of Patent: February 24, 2015
    Assignee: Texas Instruments Incorporated
    Inventors: Bhaskar Srinivasan, Brian E. Goodlin, Haowen Bu, Mark Visokay
  • Patent number: 8907336
    Abstract: A thin film semiconductor device has a semiconductor layer including a mixture of an amorphous semiconductor ionic metal oxide and an amorphous insulating covalent metal oxide. A pair of terminals is positioned in communication with the semiconductor layer and define a conductive channel, and a gate terminal is positioned in communication with the conductive channel and further positioned to control conduction of the channel. The invention further includes a method of depositing the mixture including using nitrogen during the deposition process to control the carrier concentration in the resulting semiconductor layer.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: December 9, 2014
    Assignee: CBrite Inc.
    Inventors: Chan-Long Shieh, Gang Yu
  • Publication number: 20140342555
    Abstract: Described are apparatus and methods for processing semiconductor wafers so that a film can be deposited on the wafer and the film can be UV treated without the need to move the wafer to a separate location for treatment. The apparatus and methods include a window which is isolated from the reactive gases by a flow of an inert gas.
    Type: Application
    Filed: May 17, 2013
    Publication date: November 20, 2014
    Inventors: Hyman Lam, Nicholas R. Denny, Joseph AuBuchon, Mei Chang
  • Patent number: 8853078
    Abstract: Material is deposited in a desired pattern by spontaneous deposition of precursor gas at regions of a surface that are prepared using a beam to provide conditions to support the initiation of the spontaneous reaction. Once the reaction is initiated, it continues in the absence of the beam at the regions of the surface at which the reaction was initiated.
    Type: Grant
    Filed: January 30, 2011
    Date of Patent: October 7, 2014
    Assignee: FEI Company
    Inventors: Aurelien Philippe Jean Maclou Botman, Steven Randolph, Milos Toth
  • Publication number: 20140248772
    Abstract: Embodiments of the invention provide methods for depositing a material on a substrate within a processing chamber during a vapor deposition process, such as an atomic layer deposition (ALD) process. In one embodiment, a method is provided which includes sequentially exposing the substrate to a first precursor gas and at least a second precursor gas while depositing a material on the substrate during the ALD process, and continuously or periodically exposing the substrate to a treatment gas prior to and/or during the ALD process. The deposition rate of the material being deposited may be controlled by varying the amount of treatment gas exposed to the substrate. In one example, tantalum nitride is deposited on the substrate and the alkylamino metal precursor gas contains a tantalum precursor, such as pentakis(dimethylamino) tantalum (PDMAT), the second precursor gas contains a nitrogen precursor, such as ammonia, and the treatment gas contains dimethylamine (DMA).
    Type: Application
    Filed: May 15, 2014
    Publication date: September 4, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Paul MA, Joseph F. AUBUCHON, Jiang LU, Mei CHANG
  • Patent number: 8822350
    Abstract: An oxide film is formed, having a specific film thickness on a substrate by alternately repeating: forming a specific element-containing layer on the substrate by supplying a source gas containing a specific element, to the substrate housed in a processing chamber and heated to a first temperature; and changing the specific element-containing layer formed on the substrate, to an oxide layer by supplying a reactive species containing oxygen to the substrate heated to the first temperature in the processing chamber under a pressure of less than atmospheric pressure, the reactive species being generated by causing a reaction between an oxygen-containing gas and a hydrogen-containing gas in a pre-reaction chamber under a pressure of less than atmospheric pressure and heated to a second temperature higher than the first temperature.
    Type: Grant
    Filed: November 8, 2011
    Date of Patent: September 2, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuhiro Yuasa, Ryuji Yamamoto
  • Publication number: 20140242795
    Abstract: Sterically hindered imidazolate ligands are described, along with their synthesis, which are capable of coordinating to Group 2 metals, such as: calcium, magnesium, strontium, in an eta-5 coordination mode which permits the formation of monomeric or dimeric volatile complexes. A compound comprising one or more polysubstituted imidazolate anions coordinated to a metal selected from the group consisting of barium, strontium, magnesium, radium or calcium or mixtures thereof. Alternatively, one anion can be substituted with and a second non-imidazolate anion.
    Type: Application
    Filed: February 27, 2014
    Publication date: August 28, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: John Anthony Thomas Norman, Melanie K. Perez, Moo-Sung Kim
  • Publication number: 20140235054
    Abstract: Disclosed are tungsten diazabutadiene molecules, their method of manufacture, and their use in the deposition of tungsten-containing films. The disclosed molecules have the formula W(DAD)3, wherein DAD is a 1,4-diazabuta-1,3-diene Isgand N4 and its reduced derivatives. The DAD !igand is directly coordinated to tungsten through the N atoms. The disclosed molecules may be used to deposit tungsten, tungsten-nitride, tungsten-carbonitride, or tungsten oxide films, or any other tungsten-containing films. The tungsten-containing films may be deposited using the disclosed molecules in thermal and/or plasma-enhanced CVD. ALD, pulse CVD or any other type of depositions methods.
    Type: Application
    Filed: September 27, 2012
    Publication date: August 21, 2014
    Inventors: Clément Lansalot-Matras, Nathanaelle Schneider, Jullen Gatineau
  • Patent number: 8790953
    Abstract: The surface of silicon is textured to create black silicon on a nano-micro scale by electrochemical reduction of a silica layer on silicon in molten salts. The silica layer can be a coating, or a layer caused by the oxidation of the silicon.
    Type: Grant
    Filed: June 27, 2011
    Date of Patent: July 29, 2014
    Inventors: Derek John Fray, Eimutis Juzeliunas
  • Patent number: 8765584
    Abstract: A semiconductor device and a manufacturing method therefor, wherein, during lift-off, no cracks due to internal stresses occur in the compound semiconductor layer. A method for manufacturing a semiconductor device having a structure in which a semiconductor layer is bonded on a supporting substrate, including: a device region formation step of forming a device region including the semiconductor layer on a growth substrate through a lift-off layer; a columnar member formation step of forming a columnar member on the growth substrate; a bonding step of bonding the tops of the semiconductor layer and the columnar member to a supporting substrate; a lift-off step of separating the bottom face of the semiconductor layer from the growth substrate by removing the lift-off layer, and not separating the columnar member from the growth substrate; and a step of separating the columnar member from the supporting substrate.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: July 1, 2014
    Assignee: Dowa Electronics Materials Co., Ltd.
    Inventors: Yoshitaka Kadowaki, Tatsunori Toyota
  • Publication number: 20140179105
    Abstract: Disclosed are metal-containing precursors having the formula Compound (I) wherein: —M is a metal selected from Ni, Co, Mn, Pd; and —each of R-1, R2, R3, R4, R5, R6, R7, R8, R9, and R10 are independently selected from H; a C1-C4 linear, branched, or cyclic alkyl group; a C1-C4 linear, branched, or cyclic alkylsilyl group (mono, bis, or tris alkyl); a C1-C4 linear, branched, or cyclic alkylamino group; or a C1-C4 linear, branched, or cyclic fluoroalkyl group. Also disclosed are methods of synthesizing and using the disclosed metal-containing precursors to deposit metal-containing films on a substrate via a vapor deposition process.
    Type: Application
    Filed: June 29, 2012
    Publication date: June 26, 2014
    Applicants: American Air Liquide, Inc, L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude
    Inventors: Clement Lansalot-matras, Andrey V. Korolev
  • Patent number: 8721846
    Abstract: A film forming method includes mounting a substrate on a mounting member after loading the substrate into a reaction chamber, adsorbing a compound of a first metal on a surface of the substrate by supplying a source gas containing the compound of the first metal into the reaction chamber, reducing the compound of the first metal adsorbed on the substrate by making a reducing gas contact therewith to thereby obtain a first metal layer, and alloying the first metal and a second metal to obtain an alloy layer of the first metal and the second metal by injecting the second metal into the first metal layer. The second metal is ejected from a target electrode facing the substrate by making a sputtering plasma contact with the target electrode, and at least a surface of the target electrode is formed of the second metal different from the first metal.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: May 13, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Yoshii, Yasuhiko Kojima
  • Patent number: 8703103
    Abstract: Sterically hindered imidazole ligands are described, along with their synthesis, which are capable of coordinating to Group 2 metals, such as: calcium, magnesium, strontium, in an eta-5 coordination mode which permits the formation of monomeric or dimeric volatile complexes. A compound comprising one or more polysubstituted imidazolate anions coordinated to a metal selected from the group consisting of barium, strontium, magnesium, radium or calcium or mixtures thereof. Alternatively, one anion can be substituted with and a second non-imidazolate anion. Synthesis of the novel compounds and their use to form BST films is also contemplated.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: April 22, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: John Anthony Thomas Norman, Melanie K. Perez, Moo-Sung Kim
  • Patent number: 8703614
    Abstract: A metal organic chemical vapor deposition apparatus includes reaction chambers in which nitride layers is deposited on a substrate using a group III-V material, a buffer chamber connected to the reaction chambers and in which a transfer robot is disposed to transfer the substrate into the reaction chambers, a gas supply device configured to selectively supply one or more of hydrogen, nitrogen, and ammonia gases into the buffer chamber so that when the buffer chamber communicates with one of the reaction chambers, the buffer chamber has the same atmosphere as an atmosphere of the reaction chamber, and a heater disposed in the buffer chamber. Nitride layers are deposited on a substrate in the reaction chambers, and the temperature and gas atmosphere of the buffer chamber are adjusted such that when the substrate is transferred, epitaxial layers formed on the substrate can be stably maintained.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: April 22, 2014
    Assignee: LIGADP Co., Ltd.
    Inventor: Joo Jin
  • Patent number: 8658249
    Abstract: The present invention provides a process for the deposition of a iridium containing film on a substrate, the process comprising the steps of providing at least one substrate in a reactor; introducing into the reactor at least one iridium containing precursor having the formula: XIrYA, wherein A is equal to 1 or 2 and i) when A is 1, X is a dienyl ligand and Y is a diene ligand; ii) when A is 2, a) X is a dienyl ligand and Y is selected from CO and an ethylene ligand, b) X is a ligand selected from H, alkyl, alkylamides, alkoxides, alkylsilyls, alkylsilylamides, alkylamino, and fluoroalkyl and each Y is a diene ligand, and c) X is a dienyl ligand and Y is a diene ligand; reacting the at least one iridium containing precursor in the reactor at a temperature equal to or greater than 100° C.; and depositing an iridium containing film formed from the reaction of the at least one iridium containing precursor onto the at least one substrate.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: February 25, 2014
    Assignees: L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude, American Air Liquide, Inc.
    Inventors: Julien Gatineau, Christian Dussarrat
  • Patent number: 8563353
    Abstract: Described herein is a method and liquid-based precursor composition for depositing a multicomponent film. In one embodiment, the method and compositions described herein are used to deposit Germanium Tellurium (GeTe), Antimony Tellurium (SbTe), Antimony Germanium (SbGe), Germanium Antimony Tellurium (GST), Indium Antimony Tellurium (IST), Silver Indium Antimony Tellurium (AIST), Cadmium Telluride (CdTe), Cadmium Selenide (CdSe), Zinc Telluride (ZnTe), Zinc Selenide (ZnSe), Copper indium gallium selenide (CIGS) films or other tellurium and selenium based metal compounds for phase change memory and photovoltaic devices.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: October 22, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Liu Yang, Xinjian Lei, Iain Buchanan
  • Publication number: 20130270513
    Abstract: Embodiments of the present invention provide methods for forming layers that comprise electropositive metals through ALD (atomic layer deposition) and or CVD (chemical vapor deposition) processes, layers comprising one or more electropositive metals, and semiconductor devices comprising layers comprising one or more electropositive metals. In embodiments of the invention, the layers are thin or ultrathin (films that are less than 100 {acute over (?)} thick) and or conformal films. Additionally provided are transistor devices, metal interconnects, and computing devices comprising metal layers comprising one or more electropositive metals.
    Type: Application
    Filed: September 29, 2011
    Publication date: October 17, 2013
    Inventors: Patricio E. Romero, Scott B. Clendenning
  • Patent number: 8551890
    Abstract: A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: October 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Brian E. Goodlin, Qidu Jiang
  • Patent number: 8551248
    Abstract: A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: October 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Brian E. Goodlin, Qidu Jiang
  • Patent number: 8551818
    Abstract: A method of manufacturing an electronic device includes the steps of: forming a sacrifice layer made of at least one of an alkali metal oxide and an alkali earth metal oxide in a part of a first substrate; forming a supporting layer covering the sacrifice layer; forming an electronic device on the sacrifice layer with the supporting layer in between; exposing at least a part of a side face of the sacrifice layer by removing a part of the supporting layer; forming a support body between the electronic device and the supporting layer, and a surface of the first substrate; removing the sacrifice layer; breaking the support body and transferring the electronic device onto a second substrate by bringing the electronic device into close contact with an adhesion layer provided on a surface of the second substrate; removing a fragment of the support body belonging to the electronic device; removing at least an exposed region in the adhesion layer not covered with the electronic device; and forming a fixing layer on a
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: October 8, 2013
    Assignee: Sony Corporation
    Inventor: Masanobu Tanaka
  • Patent number: 8536656
    Abstract: A semiconductor structure is provided that includes a semiconductor substrate having a plurality of gate stacks located on a surface of the semiconductor substrate. Each gate stack includes, from bottom to top, a high k gate dielectric layer, a work function metal layer and a conductive metal. A spacer is located on sidewalls of each gate stack and a self-aligned dielectric liner is present on an upper surface of each spacer. A bottom surface of each self-aligned dielectric liner is present on an upper surface of a semiconductor metal alloy. A contact metal is located between neighboring gate stacks and is separated from each gate stack by the self-aligned dielectric liner. The structure also includes another contact metal having a portion that is located on and in direct contact with an upper surface of the contact metal and another portion that is located on and in direct contact with the conductive metal of one of the gate stacks.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ravikumar Ramachandran, Ramachandra Divakaruni, Ying Li
  • Patent number: 8435905
    Abstract: The present invention provides a manufacturing method of a semiconductor device that has a rapid film formation rate and high productivity, and to provide a substrate processing apparatus.
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: May 7, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Sadayoshi Horii, Hideharu Itatani, Kazuhiro Harada