Copper Of Copper Alloy Conductor Patents (Class 438/687)
  • Publication number: 20140374904
    Abstract: The present disclosure provides a semiconductor device, including: an insulation layer and a wiring line layer, the wiring line layer including a wiring line having a line width and a line height, at least one of which is 15 nm or less, and containing Ni or Co as a main component thereof. In another embodiment, there is provided a semiconductor device manufacturing method for manufacturing a semiconductor device including an insulation layer and a wiring line layer, including: forming the wiring line layer on the insulation layer, the wiring line layer including a wiring line having a line width and a line height, at least one of which is 15 nm or less, and containing Ni or Co as a main component thereof.
    Type: Application
    Filed: September 5, 2014
    Publication date: December 25, 2014
    Inventors: Kenji MATSUMOTO, Kaoru MAEKAWA, Hiroaki KAWASAKI, Tatsufumi HAMADA
  • Patent number: 8916232
    Abstract: The embodiments fill the need of improving electromigration and reducing stress-induced voids of copper interconnect by enabling deposition of a thin and conformal barrier layer, and a copper layer in the copper interconnect. The adhesion between the barrier layer and the copper layer can be improved by making the barrier layer metal-rich prior copper deposition and by limiting the amount of oxygen the barrier layer is exposed prior to copper deposition. Alternatively, a functionalization layer can be deposited over the barrier layer to enable the copper layer being deposit in the copper interconnect with good adhesion between the barrier layer and the copper layer. An exemplary method of preparing a substrate surface of a substrate to deposit a functionalization layer over a metallic barrier layer of a copper interconnect to assist deposition of a copper layer in the copper interconnect in an integrated system in order to improve electromigration performance of the copper interconnect is provided.
    Type: Grant
    Filed: December 13, 2006
    Date of Patent: December 23, 2014
    Assignee: Lam Research Corporation
    Inventors: Hyungsuk Alexander Yoon, John Boyd, Yezdi Dordi, Fritz C. Redeker
  • Publication number: 20140370706
    Abstract: By using a conductive layer including Cu as a long lead wiring, increase in wiring resistance is suppressed. Further, the conductive layer including Cu is provided in such a manner that it does not overlap with the oxide semiconductor layer in which a channel region of a TFT is formed, and is surrounded by insulating layers including silicon nitride, whereby diffusion of Cu can be prevented; thus, a highly reliable semiconductor device can be manufactured. Specifically, a display device which is one embodiment of a semiconductor device can have high display quality and operate stably even when the size or definition thereof is increased.
    Type: Application
    Filed: August 25, 2014
    Publication date: December 18, 2014
    Inventors: Shunpei Yamazaki, Jun Koyama, Masahiro Takahashi, Hideyuki Kishida, Akiharu Miyanaga, Junpei Sugao, Hideki Uochi, Yasuo Nakamura
  • Patent number: 8912041
    Abstract: A method for forming an interconnect structure includes forming a dielectric material layer on a semiconductor substrate. An oxygen-rich layer is formed over the dielectric material layer. The dielectric material layer and the oxygen-rich layer are patterned to form a plurality of vias in the semiconductor substrate. A barrier layer is formed in the plurality of vias and on the dielectric material layer leaving a portion of the oxygen-rich layer exposed. A metal layer is formed on the barrier layer and on the exposed portion of the oxygen-rich layer, wherein the metal layer fills the plurality of vias. The semiconductor substrate is annealed at a predetermined temperature range and at a predetermined pressure to transform the exposed portion of the oxygen-rich layer into a metal-oxide stop layer.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: December 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chao-Hsien Peng, Hsiang-Huan Lee, Shau-Lin Shue
  • Patent number: 8895433
    Abstract: Interconnect structures including a graphene cap located on exposed surfaces of a copper structure are provided. In some embodiments, the graphene cap is located only atop the uppermost surface of the copper structure, while in other embodiments the graphene cap is located along vertical sidewalls and atop the uppermost surface of the copper structure. The copper structure is located within a dielectric material.
    Type: Grant
    Filed: January 6, 2014
    Date of Patent: November 25, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Griselda Bonilla, Christos D. Dimitrakopoulos, Alfred Grill, James B. Hannon, Qinghuang Lin, Deborah A. Neumayer, Satoshi Oida, John A. Ott, Dirk Pfeiffer
  • Patent number: 8896136
    Abstract: In accordance with an embodiment, a structure comprises a substrate having a first area and a second area; a through substrate via (TSV) in the substrate penetrating the first area of the substrate; an isolation layer over the second area of the substrate, the isolation layer having a recess; and a conductive material in the recess of the isolation layer, the isolation layer being disposed between the conductive material and the substrate in the recess.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: November 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Yu Tsai, Shih-Hui Wang, Chien-Ming Chiu, Chia-Ho Chen, Fang Wen Tsai, Weng-Jin Wu, Jing-Cheng Lin, Wen-Chih Chiou, Shin-Puu Jeng, Chen-Hua Yu
  • Patent number: 8883626
    Abstract: A method is provided for fabricating an interconnection structure. The method includes providing a semiconductor substrate having certain semiconductor devices inside, a dielectric layer covering the semiconductor devices, and vias inside the dielectric layer connecting with connection pads of the semiconductor devices. The method also includes forming a first conductive layer on the semiconductor substrate, and forming a second conductive layer with smaller grain sizes by doping the first conductive layer. Further, the method includes forming an interconnection pad by patterning the second conductive layer, and forming a connection wire on the interconnection pad.
    Type: Grant
    Filed: October 23, 2013
    Date of Patent: November 11, 2014
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ming Zhou
  • Patent number: 8883640
    Abstract: Methods and apparatus are provided for processing semiconductor wafers sequentially. Sequential processes employ multi-station processing modules, where particular encompassing wafer processes are divided into sub-processes, each optimized for increasing wafer to wafer uniformity, result quality, and overall wafer throughput. In one example, a copper electroplating module includes separate stations for wetting, initiation, seed layer repair, fill, overburden, reclaim, and rinse.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: November 11, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Evan E. Patton, Theodore Cacouris, Eliot Broadbent, Steven T. Mayer
  • Patent number: 8884288
    Abstract: The present invention provides a semiconductor structure for testing MIM capacitors. The semiconductor structure comprises: a first metal layer comprising at least a first circuit area and a second circuit area; a second metal layer located below the first metal layer with a first dielectric layer lying therebetween and connected with the second circuit area; a top plate located within the first dielectric layer closer to the first metal layer and connected with the first circuit area; a bottom plate located within the first dielectric layer closer to the second metal layer and separated from the top plate with an insulation layer therebetween and connected with the second circuit area. The second metal layer is connected with the substrate through a first electric pathway so as to form a second electric pathway from the top plate to the substrate when an electric leakage region exists in the insulation layer.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: November 11, 2014
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventors: Qiang Li, Zhuanlan Sun, Changhui Yang
  • Patent number: 8877075
    Abstract: In accordance with an embodiment of the present invention, a method of polishing a device includes providing a layer having a non-uniform top surface. The non-uniform top surface includes a plurality of protrusions. The method further includes removing the plurality of protrusions by exposing the layer to a fluid that has gas bubbles and a liquid.
    Type: Grant
    Filed: February 1, 2012
    Date of Patent: November 4, 2014
    Assignee: Infineon Technologies AG
    Inventor: Johann Kosub
  • Patent number: 8871636
    Abstract: A line trough and a via cavity are formed within a dielectric layer comprising a fluorosilicate glass (FSG) layer. A fluorine depleted adhesion layer is formed within the line trough and the via cavity either by a plasma treatment that removes fluorine from exposed surfaces of the FSG layer, or by deposition of a substantially fluorine-free dielectric layer. Metal is deposited within the line trough and the via cavity to form a metal line and a metal via. The fluorine depleted adhesion layer provides enhanced adhesion to the metal line compared with prior art structures in which a metal line directly contacts a FSG layer. The enhanced adhesion of metal with an underlying dielectric layer provides higher resistance to delamination for a semiconductor package employing lead-free C4 balls on a metal interconnect structure.
    Type: Grant
    Filed: October 7, 2013
    Date of Patent: October 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Emily R. Kinser
  • Patent number: 8866298
    Abstract: A semiconductor component includes a semiconductor die and a copper-containing electrical conductor. The semiconductor die has a semiconductor device region, an aluminum-containing metal layer on the semiconductor device region, and at least one additional metal layer on the aluminum-containing metal layer which is harder than the aluminum-containing metal layer. The copper-containing electrical conductor is bonded to the at least one additional metal layer of the semiconductor die via an electrically conductive coating of the copper-containing electrical conductor which is softer than the copper of the copper-containing electrical conductor.
    Type: Grant
    Filed: January 11, 2013
    Date of Patent: October 21, 2014
    Assignee: Infineon Technologies AG
    Inventor: Reinhold Bayerer
  • Patent number: 8859419
    Abstract: One illustrative method disclosed herein includes forming a trench/via in a layer of insulating material, forming a barrier layer in the trench/via, forming a copper-based seed layer on the barrier layer, converting at least a portion of the copper-based seed layer into a copper-based nitride layer, depositing a bulk copper-based material on the copper-based nitride layer so as to overfill the trench/via and performing at least one chemical mechanical polishing process to remove excess materials positioned outside of the trench/via to thereby define a copper-based conductive structure. A device disclosed herein includes a layer of insulating material, a copper-based conductive structure positioned in a trench/via within the layer of insulating material and a copper-based silicon or germanium nitride layer positioned between the copper-based conductive structure and the layer of insulating material.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: October 14, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Xunyuan Zhang, Larry Zhao, Ming He, Sean Lin, John Iacoponi, Errol Todd Ryan
  • Patent number: 8858763
    Abstract: Disclosed are apparatus and method embodiments for achieving etch and/or deposition selectivity in vias and trenches of a semiconductor wafer. That is, deposition coverage in the bottom of each via of a semiconductor wafer differs from the coverage in the bottom of each trench of such wafer. The selectivity may be configured so as to result in punch through in each via without damaging the dielectric material at the bottom of each trench or the like. In this configuration, the coverage amount deposited in each trench is greater than the coverage amount deposited in each via.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: October 14, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Erich R. Klawuhn, Robert Rozbicki, Girish A. Dixit
  • Patent number: 8859426
    Abstract: A semiconductor device has a semiconductor substrate, a first insulating film formed on a surface of the semiconductor substrate, a first recess formed in the first insulating film, a first barrier film formed on an inner surface of the first insulating film except a top peripheral region of the first trench, a first conductive film formed in the first trench, and a covering film formed on an upper surface and a top peripheral region of the first conductive film and an upper surface of the first barrier film. The first conductive film includes copper.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: October 14, 2014
    Assignee: Lapis Semiconductor Co., Ltd.
    Inventor: Kazuhide Abe
  • Patent number: 8858766
    Abstract: A system and method for combinatorial processing of substrates in a processing chamber. The system includes a plurality of generators for supplying power into the processing chamber. A plurality of sputter guns provides power to different regions of a substrate. A switchbox switches power from a generator to a sputter gun via a plurality of coaxial switches. A controller positioned within the switchbox automatically distributes power from a specific generator to a specific sputter gun under programmable logic control.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: October 14, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Brian K. Hatcher, Kent Riley Child
  • Patent number: 8853102
    Abstract: A manufacturing method of a circuit structure is provided. A metal layer having an upper surface is provided. A surface passivation layer is formed on the metal layer. The surface passivation layer exposes a portion of the upper surface of the metal layer, and a material of the metal layer is different from a material of the surface passivation layer. The metal layer and the surface passivation layer are dipped into a modifier, and the modifier is selectively absorbed and attached to the surface passivation layer, so as to form a covering layer. The covering layer has a plurality of nanoparticles and covers the surface passivation layer.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: October 7, 2014
    Assignee: Subtron Technology Co., Ltd.
    Inventor: Ching-Sheng Chen
  • Patent number: 8846451
    Abstract: Methods for depositing metal in high aspect ratio features formed on a substrate are provided herein. In some embodiments, a method includes applying first RF power at VHF frequency to target comprising metal disposed above substrate to form plasma, applying DC power to target to direct plasma towards target, sputtering metal atoms from target using plasma while maintaining pressure in PVD chamber sufficient to ionize predominant portion of metal atoms, depositing first plurality of metal atoms on bottom surface of opening and on first surface of substrate, applying second RF power to redistribute at least some of first plurality from bottom surface to lower portion of sidewalls of the opening, and depositing second plurality of metal atoms on upper portion of sidewalls by reducing amount of ionized metal atoms in PVD chamber, wherein first and second pluralities form a first layer deposited on substantially all surfaces of opening.
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: September 30, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Alan Ritchie, Karl Brown, John Pipitone
  • Patent number: 8846516
    Abstract: Dielectric materials having implanted metal sites and methods of their fabrication have been described. Such materials are suitable for use as charge-trapping nodes of non-volatile memory cells for memory devices. By incorporating metal sites into dielectric charge-trapping materials using an ammonia plasma and a metal source in contact with the plasma, improved programming and erase voltages may be facilitated.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: September 30, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Nirmal Ramaswamy
  • Patent number: 8841766
    Abstract: Sidewall protection processes are provided for Cu pillar bump technology, in which a protection structure on the sidewalls of the Cu pillar bump is formed of at least one of non-metal material layers, for example a dielectric material layer, a polymer material layer, or combinations thereof.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: September 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien Ling Hwang, Yi-Wen Wu, Chun-Chieh Wang, Chung-Shi Liu
  • Patent number: 8841212
    Abstract: A method patterns at least one opening in a low-K insulator layer of a multi-level integrated circuit structure, such that a copper conductor is exposed at the bottom of the opening. The method then lines the sidewalls and the bottom of the opening with a first Tantalum Nitride layer in a first chamber and forms a Tantalum layer on the first Tantalum Nitride layer in the first chamber. Next, sputter etching on the opening is performed in the first chamber, so as to expose the conductor at the bottom of the opening. A second Tantalum Nitride layer is formed on the conductor, the Tantalum layer, and the first Tantalum Nitride layer, again in the first chamber. After the second Tantalum Nitride layer is formed, the methods herein form a flash layer comprising a Platinum group metal on the second Tantalum Nitride layer in a second, different chamber.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: September 23, 2014
    Assignee: International Business Machines Corporation
    Inventors: Takeshi Nogami, Thomas M. Shaw, Andrew H. Simon, Jean E. Wynne, Chih-Chao Yang
  • Publication number: 20140264874
    Abstract: Integrated circuit devices and method of forming them. The devices include a dielectric barrier layer formed over a copper-containing metal interconnect structure. The dielectric barrier layer inhibits electro-migration of Cu. The dielectric barrier layer includes a metal-containing layer that forms an interface with the interconnect structure. Incorporating metal within the interfacial layer improves adhesion of the dielectric barrier layer to copper lines and the like and provides superior electro-migration resistance over the operating lifetime of the devices.
    Type: Application
    Filed: August 15, 2013
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Su-Jen Sung, Chih-Chiang Chang, Chia-Ho Chen
  • Publication number: 20140273453
    Abstract: A semiconductor device includes a first moisture-resistant ring disposed in a peripheral region surrounding a circuit region on a semiconductor substrate in such a way as to surround the circuit region and a second moisture-resistant ring disposed in the peripheral region in such a way as to surround the first moisture-resistant ring.
    Type: Application
    Filed: May 23, 2014
    Publication date: September 18, 2014
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Jun Sakuma, Hideaki Matsumura, Tadashi Ohshima
  • Patent number: 8835319
    Abstract: In one embodiment, a method of forming a semiconductor device includes forming a metal line over a substrate and depositing an alloying material layer over a top surface of the metal line. The method further includes forming a protective layer by combining the alloying material layer with the metal line.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: September 16, 2014
    Assignee: Infineon Technologies AG
    Inventors: Dirk Meinhold, Norbert Mais, Reimund Engl, Hans-Joerg Timme, Alfred Vater, Stephan Henneck, Norbert Urbansky
  • Patent number: 8828797
    Abstract: A three-dimensional integrated structure is fabricated by assembling at least two parts together, wherein each part contains at least one metallic line covered with a covering region and having a free side. A cavity is formed in the covering region of each part, that cavity opening onto the metallic line. The two parts are joined together with the free sides facing each other and the cavities in each covering region aligned with each other. The metallic lines are then electrically joined to each other through an electromigration of the metal within at least one of the metallic lines, the electromigrated material filling the aligned cavities.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: September 9, 2014
    Assignee: STMicroelectronics SA
    Inventors: Perceval Coudrain, Yacine Felk, Patrick Lamontagne
  • Patent number: 8828863
    Abstract: A method for providing metal filled features in a layer is provided. A nonconformal metal seed layer is deposited on tops, sidewalls, and bottoms of the features, wherein more seed layer is deposited on tops and bottoms of features than sidewalls. The metal seed layer are etched back on tops, sidewalls, and bottoms of the features, wherein some metal seed layer remains on tops and bottoms of the features. Deposition on the seed layer on tops of the features is suppressed. An electroless “bottom up” deposition of metal is provided to fill the features.
    Type: Grant
    Filed: June 25, 2013
    Date of Patent: September 9, 2014
    Assignee: Lam Research Corporation
    Inventors: William T. Lee, Xiaomin Bin
  • Patent number: 8828870
    Abstract: A metal interconnect structure and a method of manufacturing the metal interconnect structure. Manganese (Mn) is incorporated into a copper (Cu) interconnect structure in order to modify the microstructure to achieve bamboo-style grain boundaries in sub-90 nm technologies. Preferably, bamboo grains are separated at distances less than the “Blech” length so that copper (Cu) diffusion through grain boundaries is avoided. The added Mn also triggers the growth of Cu grains down to the bottom surface of the metal line so that a true bamboo microstructure reaching to the bottom surface is formed and the Cu diffusion mechanism along grain boundaries oriented along the length of the metal line is eliminated.
    Type: Grant
    Filed: January 10, 2014
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Jeffrey P. Gambino, Qiang Huang, Takeshi Nogami, Kenneth P. Rodbell
  • Patent number: 8821750
    Abstract: The present invention relates to a metal polishing slurry containing abrasive grains, a metal-oxide-dissolving agent, and water, wherein the abrasive grains contain two or more abrasive grain species different from each other in average secondary particle diameter. Using the metal polishing slurry of the present invention, a metal polishing slurry can be obtained which gives a large polishing rate of an interlayer dielectric layer, and is high in the flatness of the polished surface. This metal polishing slurry can provide suitable method for a semiconductor device which is excellent in being made finer and thinner and in dimension precision and in electric characteristics, is high in reliability, and can attain a decrease in costs.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: September 2, 2014
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Takashi Shinoda, Shigeru Nobe
  • Patent number: 8809124
    Abstract: A structure includes a hybrid substrate for supporting a semiconductive device that includes a bumpless build-up layer in which the semiconductive device is embedded and a laminated-core structure. The bumpless build-up layer and the laminated-core structure are rendered an integral apparatus by a reinforcement plating that connects to a plated through hole in the laminated-core structure and to a subsequent bond pad of the bumpless build-up layer structure.
    Type: Grant
    Filed: July 3, 2013
    Date of Patent: August 19, 2014
    Assignee: Intel Corporation
    Inventors: Mathew J Manusharow, Mark S Hlad, Ravi K Nalla
  • Patent number: 8809184
    Abstract: One method disclosed herein includes forming a plurality of source/drain contacts that are conductively coupled to a source/drain region of a plurality of transistor devices, wherein at least one of the source/drain contacts is a local interconnect structure that spans the isolation region and is conductively coupled to a first source/drain region in a first active region and to a second source/drain region in a second active region, and forming a patterned mask layer that covers the first and second active regions and exposes at least a portion of the local interconnect structure positioned above an isolation region that separates the first and second active regions. The method further includes performing an etching process through the patterned mask layer to remove a portion of the local interconnect structure, thereby defining a recess positioned above a remaining portion of the local interconnect structure, and forming an insulating material in the recess.
    Type: Grant
    Filed: May 7, 2012
    Date of Patent: August 19, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Lei Yuan, Jin Cho, Jongwook Kye, Harry J. Levinson
  • Patent number: 8809193
    Abstract: A Co film is formed by supplying cobalt alkylamidinate, and a combined gas containing H2 gas with at least one member selected from the group consisting of NH3, N2H4, NH(CH3)2, N2H3CH, and N2 as a reducing gas, or at least one gas selected from the group consisting of NH3, N2H4, NH(CH3)2, N2H3CH, and N2 as a reducing gas, on the surface of a base material, which consists of an SiO2 film or a barrier film serving as a primary layer. A Cu interconnection film is formed on the surface of the Co film.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: August 19, 2014
    Assignee: Ulvac, Inc.
    Inventors: Shoichiro Kumamoto, Satoru Toyoda, Harunori Ushikawa
  • Patent number: 8802563
    Abstract: A method is provided which includes providing a dielectric material having a dielectric constant of about 4.0 or less and at least one conductive material embedded therein, the at least one conductive material has an upper surface that is coplanar with an upper surface of the dielectric material and the upper surface of the at least one conductive material has hollow-metal related defects that extend inward into the at least one conductive material; and filling the hollow-metal related defects with a surface repair material.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: August 12, 2014
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Conal E. Murray
  • Patent number: 8794498
    Abstract: In a method for producing an electronic component device, a heat bonding step is performed in a state in which low melting point metal layers including low melting point metals including, for example, Sn as the main component, are arranged to sandwich, in the thickness direction, a high melting point metal layer including a high melting point metal including, for example, Cu as the main component, which is the same or substantially the same as high melting point metals defining first and second conductor films to be bonded. In order to generate an intermetallic compound of the high melting point metal and the low melting point metal, the distance in which the high melting point metal is to be diffused in each of the low melting point metal layers is reduced. Thus, the time required for the diffusion is reduced, and the time required for the bonding is reduced.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: August 5, 2014
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Yuji Kimura, Hiroki Horiguchi
  • Patent number: 8796144
    Abstract: A method of forming a thin film interconnect in which a film is formed by sputtering method using a Cu—Ca alloy target and a thin film interconnect formed by the method, the method comprising: forming a Cu—Ca alloy film by sputtering method using a Cu—Ca alloy target that contains 0.5 atomic % or more and less than 5 atomic % of Ca, and the balance consisting of Cu and unavoidable impurities; and performing heat treatment of the Cu—Ca alloy film at a temperature of 300 to 700° C. in an inert gas atmosphere containing trace amount of oxygen defined by oxygen partial pressure in the range of 10?4 to 10?10 atm.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: August 5, 2014
    Assignee: Mitsubishi Materials Corporation
    Inventor: Satoru Mori
  • Patent number: 8791018
    Abstract: The present method of forming an electronic structure includes providing a tantalum base layer and depositing a layer of copper on the tantalum layer, the deposition being undertaken by physical vapor deposition with the temperature of the base layer at 50° C. or less, with the deposition taking place at a power level of 300 W or less.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: July 29, 2014
    Assignee: Spansion LLC
    Inventors: Wen Yu, Stephen B. Robie, Jeremias D. Romero
  • Patent number: 8791016
    Abstract: Disclosed herein are through silicon vias (TSVs) and contacts formed on a semiconductor material, methods of manufacturing, and design structures. The method includes forming a contact hole in a dielectric material formed on a substrate. The method further includes forming a via in the substrate and through the dielectric material. The method further includes lining the contact hole and the dielectric material with a metal liner using a deposition technique that will avoid formation of the liner in the via formed in the substrate. The method further includes filling the contact hole and the via with a metal such that the metal is formed on the liner in the contact hole and directly on the substrate in the via.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: July 29, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Cameron E. Luce, Daniel S. Vanslette, Bucknell C. Webb
  • Patent number: 8778793
    Abstract: A barrier insulating film is constituted from a first SiCN film formed with a tetramethylsilane gas flow rate lower than usual, a second SiCN film formed over the first SiCN film and formed with a usual tetramethylsilane gas flow rate, and a SiCO film formed over the second SiCN film.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: July 15, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Takahisa Furuhashi, Naohito Suzumura
  • Patent number: 8778790
    Abstract: A rewiring is formed by forming a Cu seed layer of copper over an opening and insulating films, forming a photoresist film over the Cu seed layer, a step of forming copper film by plating-growth over the Cu seed layer, and forming a Ni film. After forming an Au film in an opening (pad region) over the rewiring, the photoresist film is removed and passivation processing is performed on the Ni film. Then, the Cu seed layer other than the formation region of the rewiring is etched. According to these steps, a passivation film is formed on the surface of the Ni film and the reduction in film thickness of the Ni film by the etching can be reduced. Furthermore, it is possible to reduce trouble due to distortion of a substrate resulting from an increase in thickness of the Ni film in view of reduction in film thickness.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: July 15, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Tota Maitani, Yutaro Ebata
  • Patent number: 8778801
    Abstract: A seed layer comprises a bottom seed layer portion formed on the bottom of a via opening, a sidewall seed layer portion formed on an upper portion of the sidewall of the via opening and a corner seed layer portion formed between the bottom seed layer portion and the sidewall seed layer portion. The sidewall seed layer portion is of a first thickness. The corner seed layer portion is of a second thickness and the second thickness is greater than the first thickness.
    Type: Grant
    Filed: September 21, 2012
    Date of Patent: July 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Bin Chiang, Hung-Chih Wang, Kuei-Pin Lee, Chi-Yu Chou, Yao Hsiang Liang
  • Patent number: 8779574
    Abstract: A semiconductor die that includes a plurality of non-metallic slots that extend through a current routing line is disclosed. The semiconductor die comprises a semiconductor circuit that includes a plurality of semiconductor components and a current trace line that is coupled to a first semiconductor component. Further, the semiconductor die comprises a current routing line that is coupled with the current trace line. The current routing line includes a plurality of non-metallic slots that extend through the current routing line.
    Type: Grant
    Filed: April 1, 2013
    Date of Patent: July 15, 2014
    Assignee: Western Digital Technologies, Inc.
    Inventors: John R. Agness, Mingying Gu
  • Patent number: 8771495
    Abstract: A method and composition for metallizing a via feature in a semiconductor integrated circuit device substrate, using a leveler compound which is a dipyridyl compound.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: July 8, 2014
    Assignee: Enthone Inc.
    Inventors: Vincent Paneccasio, Jr., Xuan Lin, Richard Hurtubise, Qingyun Chen
  • Patent number: 8772155
    Abstract: High aspect ratio trenches may be filled with metal that grows more from the bottom than the top of the trench. As a result, the tendency to form seams or to close off the trench at the top during filling may be reduced in some embodiments. Material that encourages the growth of metal may be formed in the trench at the bottom, while leaving the region of the trench near the top free of such material to encourage growth upwardly from the bottom.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: July 8, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Shai Haimson, Avi Rozenblat, Dror Horvitz, Maor Rotlain, Rotem Drori
  • Patent number: 8772161
    Abstract: A method for modifying the chemistry or microstructure of silicon-based technology via an annealing process is provided. The method includes depositing a reactive material layer within a selected proximity to an interconnect, igniting the reactive material layer, and annealing the interconnect via heat transferred from the ignited reactive material layer. The method can also be implemented in connection with a silicide/silicon interface as well as a zone of silicon-based technology.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Gregory M. Fritz, Christian Lavoie, Conal E. Murray, Kenneth P Rodbell
  • Patent number: 8765597
    Abstract: A line trough and a via cavity are formed within a dielectric layer comprising a fluorosilicate glass (FSG) layer. A fluorine depleted adhesion layer is formed within the line trough and the via cavity either by a plasma treatment that removes fluorine from exposed surfaces of the FSG layer, or by deposition of a substantially fluorine-free dielectric layer. Metal is deposited within the line trough and the via cavity to form a metal line and a metal via. The fluorine depleted adhesion layer provides enhanced adhesion to the metal line compared with prior art structures in which a metal line directly contacts a FSG layer. The enhanced adhesion of metal with an underlying dielectric layer provides higher resistance to delamination for a semiconductor package employing lead-free C4 balls on a metal interconnect structure.
    Type: Grant
    Filed: October 7, 2013
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Emily R. Kinser
  • Patent number: 8764961
    Abstract: A method and apparatus for selectively controlling deposition rate of conductive material during an electroplating process. Dopants are predominantly incorporated into a conductive seed layer on field regions of a substrate prior to filling openings in the field regions by electroplating. A substrate is positioned in one or more processing chambers, and barrier and conductive seed layers formed. A dopant precursor is provided to the chamber and ionized, with or without voltage bias. The dopant predominantly incorporates into the conductive seed layer on the field regions. Electrical conductivity of the conductive seed layer on the field regions is reduced relative to that of the conductive seed layer in the openings, resulting in low initial deposition rate of metal on the field regions during electroplating, and little or no void formation in the metal deposited in the openings.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: July 1, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Qian Luo, Arvind Sundarrajan, Hua Chung, Xianmin Tang, Jick M. Yu, Murali K. Narasimhan
  • Patent number: 8765605
    Abstract: A method for manufacturing semiconductor devices includes the steps of annealing an insulating layer and forming a barrier layer including a metal element over the insulating layer. The insulating layer includes a fluorocarbon (CFx) film. The barrier layer is formed by a high-temperature sputtering process after the annealing step.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: July 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masahiro Horigome, Takuya Kurotori, Yasuo Kobayashi, Takaaki Matsuoka, Toshihisa Nozawa
  • Publication number: 20140167265
    Abstract: One illustrative device disclosed herein includes a layer of insulating material, a copper-based conductive structure positioned in the layer of insulating material and a bi-layer cap layer comprised of a first layer of material positioned on the copper-based conductive structure and a second layer of material positioned on the first layer of material. One method disclosed herein includes forming a copper-based conductive structure in a first layer of insulating material, forming a first layer of a bi-layer cap layer on the copper-based conductive structure, the first layer being comprised of silicon carbon nitride, forming a second layer of the bi-layer cap layer on the first layer, the second layer being comprised of silicon nitride, and forming a second layer of insulating material above the second layer.
    Type: Application
    Filed: December 14, 2012
    Publication date: June 19, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Dimitri R. Kioussis, Youbo Lin, Zhiguo Sun
  • Patent number: 8749066
    Abstract: Some embodiments include semiconductor processing methods in which a copper barrier is formed to be laterally offset from a copper component, and in which nickel is formed to extend across both the barrier and the component. The barrier may extend around an entire lateral periphery of the component, and may be spaced from the component by an intervening ring of electrically insulative material. The copper component may be a bond pad or an interconnect between two levels of metal layers. Some embodiments include semiconductor constructions in which nickel extends across a copper component, a copper barrier is laterally offset from the copper component, and an insulative material is between the copper barrier and the copper component.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: June 10, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Tianhong Zhang, Akram Ditali
  • Patent number: 8748319
    Abstract: Embodiments of the invention may provide a method of printing one or more print tracks on a print support, or substrate, comprising two or more printing steps in each of which a layer of material is deposited on the print support according to a predetermined print profile. In each printing step, subsequent to the first step, each layer of material is deposited at least partially on top of the layer of material printed in the preceding printing step, so that each layer of printed material has an identical or different print profile with respect to at least a layer of material underneath. The method may further comprise depositing material in each printing step that is equivalent to or different from the material deposited in at least one of other the print layers.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: June 10, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Marco Galiazzo, Andrea Baccini, Giorgio Cellere, Luigi De Santi, Gianfranco Pasqualin, Tommaso Vercesi
  • Patent number: 8741771
    Abstract: A damascene process incorporating a GCIB step is provided. The GCIB step can replace one or more CMP steps in the traditional damascene process. The GCIB step allows for selectable removal of unwanted material and thus, reduces unwanted erosion of certain nearby structures during damascene process. A GCIB step may also be incorporated in the damascene process as a final polish step to clean up surfaces that have been planarized using a CMP step.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: June 3, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper