Coating Of Sidewall Patents (Class 438/696)
  • Patent number: 11702751
    Abstract: A non-conformal, highly selective liner for etch methods in semiconductor devices is described. A method comprises forming a film stack on a substrate; etching the film stack to form an opening; depositing a non-conformal liner in the opening; etching the non-conformal liner from the bottom of the opening; and selectively etching the film stack relative to the non-conformal liner to form a logic or memory hole. The non-conformal liner comprises one or more of boron, carbon, or nitrogen.
    Type: Grant
    Filed: August 10, 2020
    Date of Patent: July 18, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Bo Qi, Huiyuan Wang, Yingli Rao, Abhijit Basu Mallick
  • Patent number: 11610777
    Abstract: A method for fabricating semiconductor device includes the steps of: forming a hard mask on a substrate; forming a first mandrel and a second mandrel on the hard mask; forming a first spacer and a second spacer around the first mandrel and a third spacer and a fourth spacer around the second mandrel; removing the second mandrel; forming a patterned mask on the first mandrel, the first spacer, the second spacer, the third spacer, and the fourth spacer; and using the patterned mask to remove the third spacer and the hard mask.
    Type: Grant
    Filed: August 25, 2020
    Date of Patent: March 21, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: Kuan-Ting Chen
  • Patent number: 11393689
    Abstract: A method for forming spacers on a gate pattern includes deposition of a first dielectric layer having basal portions on an active layer and side portions of the edges of the pattern; anisotropic modification of only the basal portions of the first layer, so as to obtain modified basal portions; deposition of a second dielectric layer on the first layer, also having basal and side portions; anisotropic etching of only the basal portions of the second layer, so as to remove these basal portions while conserving the side portions; and removal of the modified basal portions while conserving the first and second non-modified side portions, by selective etching of the modified dielectric material vis-à-vis the non-modified dielectric material.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: July 19, 2022
    Assignees: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES, CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE (CNRS), UNIVERSITE GRENOBLE ALPES
    Inventors: Nicolas Posseme, Marceline Bonvalot, Ahmad Chaker, Christophe Vallee
  • Patent number: 11380758
    Abstract: The present disclosure provides a semiconductor device with an air gap and a boron nitride cap for reducing capacitive coupling in a pattern-dense region and a method for preparing the semiconductor device. The semiconductor device includes a first metal plug and a second metal plug disposed over a pattern-dense region of a semiconductor substrate. The semiconductor device also includes a third metal plug and a fourth metal plug disposed over a pattern-loose region of the semiconductor substrate. The semiconductor device further includes a boron nitride layer disposed over the pattern-dense region and the pattern-loose region of the semiconductor substrate. A first portion of the boron nitride layer between the first metal plug and the second metal plug is separated from the semiconductor substrate by an air gap, and a second portion of the boron nitride layer between the third metal plug and the fourth metal plug is in direct contact with the semiconductor substrate.
    Type: Grant
    Filed: July 23, 2020
    Date of Patent: July 5, 2022
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Yuan-Yuan Lin
  • Patent number: 11380579
    Abstract: A self-aligned multiple patterning (SAMP) multi-color spacer patterning process is disclosed for formation of structures on substrates. Trenches and vias may be formed in the process. A trench memorization layer and a via memorization layer may be formed on the substrate. In one embodiment, the trench memorization layer and the via memorization layer are formed between the multi-color spacer patterning structures and a low-k interlayer dielectric layer. The use of the trench memorization layer and the via memorization layer allows the formation of trenches and vias in the low-k interlayer dielectric layer without causing damage to the low-k properties of the low-k interlayer dielectric layer.
    Type: Grant
    Filed: May 1, 2020
    Date of Patent: July 5, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Hirokazu Aizawa, Kaoru Maekawa, Akiteru Ko
  • Patent number: 11373992
    Abstract: The disclosure provides a double patterning technology to define peripheral patterns in a DRAM cell. Due to the consideration of line width, the peripheral pattern lines need to undergo two lithographic processes and two etch processes. The presence of additional photoresist patterns in the array region while fabricating peripheral patterns on the M0 layer can increase the stability of peripheral pattern lines. Peripheral pattern lines will not collapse after being subjected to the rinse of developing agent. Moreover, the photoresist coverage of patterns in the array region is not excessive, so the loading effect during etch processes is reduced and the occurrence of photoresist residues is avoided.
    Type: Grant
    Filed: August 24, 2020
    Date of Patent: June 28, 2022
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Ching-Yuan Kuo, Chih-Hao Kuo
  • Patent number: 11361976
    Abstract: A technique improves selectivity in etching of a silicon-containing film over etching of a mask in plasma etching. A substrate processing method includes placing a substrate in a chamber in a plasma processing apparatus. The substrate includes a silicon-containing film and a mask on the silicon-containing film. The substrate processing method further includes generating plasma from a first process gas containing a hydrogen fluoride gas in the chamber. The generating plasma includes etching the silicon-containing film with a chemical species contained in the plasma. A flow rate of the hydrogen fluoride gas is at least 25 vol % of a total flow rate of the non-inert components of the first process gas.
    Type: Grant
    Filed: November 9, 2020
    Date of Patent: June 14, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Ryutaro Suda, Maju Tomura
  • Patent number: 11361973
    Abstract: An etching method includes preparing a substrate having a processing target film, multiple core members formed on the processing target film, and a first film covering the multiple core members and the processing target film exposed between the multiple core members; forming a second film on a surface of the first film by a first gas; etching the first film by plasma of a second gas while allowing the second film to be left on a portion of the first film corresponding to a side surface of each core member; and repeating the forming of the second film and the etching of the first film.
    Type: Grant
    Filed: December 4, 2020
    Date of Patent: June 14, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Yusuke Takino
  • Patent number: 11328933
    Abstract: A technique enables etching of a film on a substrate with reduced etching on the surface of a side wall. An etching method includes forming a protective layer on a surface of a side wall defining a recess in a substrate. The protective layer contains sulfur atoms. The etching method further includes etching a film on the substrate to increase a depth of the recess after forming the protective layer.
    Type: Grant
    Filed: November 19, 2020
    Date of Patent: May 10, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shinya Ishikawa, Kenta Ono, Masanobu Honda
  • Patent number: 11189483
    Abstract: According to one aspect of the technique of the present disclosure, there is provided a method of manufacturing a semiconductor device including: (a) providing a semiconductor processing apparatus including a substrate process chamber, a coil and a substrate support; (b) placing a target substrate with a concave structure of a silicon film on a substrate support, wherein a deteriorated layer is formed on an inner surface of the concave structure by deterioration of a surface layer of the silicon film due to an etching process; (c) supplying an oxygen-containing gas into the substrate process chamber; (d) applying a high frequency power to the coil to generate plasma of the oxygen-containing gas; and (e) oxidizing, by the plasma, a surface of the silicon film exposed in the concave structure wherein the deteriorated layer is formed on the surface.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: November 30, 2021
    Assignee: Kokusai Electric Corporation
    Inventors: Yuichiro Takeshima, Masanori Nakayama, Katsunori Funaki, Yasutoshi Tsubota, Hiroto Igawa
  • Patent number: 11158506
    Abstract: A hard mask and a method of creating thereof are provided. A first layer is deposited that is configured to provide at least one of a chemical and a mechanical adhesion to a layer immediately below it. A second layer is deposited having an etch selectivity that is faster than the first layer. A third layer is deposited having an etch selectivity that is slower than the first and second layers. The third layer has a composite strength that is higher than the first and second layers. A photoresist layer is deposited on top of the third layer and chemically removed above an inner opening. The third layer and part of the second layer are anisotropically etched through the inner opening. The second layer and the first layer are isotropically etched to create overhang regions of the third layer.
    Type: Grant
    Filed: April 18, 2020
    Date of Patent: October 26, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Frank Robert Libsch, Ghavam G. Shahidi, Ko-Tao Lee, Stephen M. Rossnagel
  • Patent number: 11127835
    Abstract: There is provided a method for etching a dielectric layer covering at least partially a flank of a structure made of a semi-conductive material, the structure having at least one face, the method including a plurality of sequences, each including at least the following steps: a main oxidation so as to form an oxide film; a main anisotropic etching of the oxide film, carried out so as to etch a portion of the oxide film extending parallel to the flanks and at least some of the dielectric layer, be stopped before etching the structure and a whole thickness of another portion of the oxide film extending perpendicularly to the flanks, the steps being repeated until the complete removal of the dielectric layer located on the flanks of the structure.
    Type: Grant
    Filed: December 20, 2019
    Date of Patent: September 21, 2021
    Assignee: Commissariat A L'Energie Atomique et aux Energies Alternatives
    Inventors: Nicolas Posseme, Vincent Ah-Leung, Olivier Pollet
  • Patent number: 11120992
    Abstract: A method is disclosed, which comprises forming a mid layer over a mask stack that is over a device layer of a substrate; forming a first buffer layer on the mid layer and a plurality pairs of first linear patterns over the first buffer layer; deposing a spin on hard mask layer filling between the first linear patterns; forming a second buffer layer on the spin on hard mask layer and a plurality of second linear patterns on the second buffer layer that projectively intercepts the first linear patterns; performing a mid layer opening process by concurrently etching the second buffer layer, the spin on hard mask layer, and the first buffer layer through the first and the second linear patterns to partially expose the mid layer; and etching the exposed portions of the mid layer to form a grid-type pattern over the mask stack.
    Type: Grant
    Filed: November 11, 2019
    Date of Patent: September 14, 2021
    Assignee: XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD.
    Inventor: Min Huh
  • Patent number: 10964592
    Abstract: A method of forming conductive vias of integrated circuitry comprises forming first openings in a first masking material, with the first openings being spaced along a line passing across the first openings. Sidewalls of the first openings are lined with a second masking material to form a ring within individual of the first openings and a second opening within the individual first openings radially inside of the ring. The first masking material is removed along the line to form a void space between immediately-adjacent of the rings. A mask is formed that comprises the rings and a third opening in third masking material, with the third opening extending along the line above and across multiple of the rings and multiple of the second openings. The mask is used as an etch mask while etching into substrate material that is exposed through the third opening to form contact openings in the substrate material that are spaced along the line.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: March 30, 2021
    Assignee: Micron Technology, Inc.
    Inventor: Si-Woo Lee
  • Patent number: 10923402
    Abstract: A method of manufacturing a semiconductor device may include forming a hardmask layer on a substrate, forming a first mold pattern on the hardmask layer using a first photolithography process, conformally forming a spacer layer on the first mold pattern and on portions of the hardmask layer exposed by the first mold pattern, forming a first mold layer using a second photolithography process. The first mold layer may have a first opening that exposes a portion of the spacer layer. The method may include forming a spacer pattern by anisotropically etching the portion of the spacer layer exposed by the first opening until a portion of a top surface of the hardmask layer is exposed, and using the spacer pattern as an etching mask to pattern the hardmask layer.
    Type: Grant
    Filed: March 20, 2019
    Date of Patent: February 16, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Eun-Chul Seo, Kyoungpil Park, Doo-Hwan Park, Seongho Park, Aee Young Park, Kyungmin Chung
  • Patent number: 10910221
    Abstract: The present application discloses a semiconductor device structure and a method for forming the same. The method includes forming a pillar over a substrate, forming a first ring structure over a sidewall of the pillar, removing the pillar to form a first opening surrounded by the first ring structure, forming a second ring structure in the first opening, forming a third ring structure surrounding the first ring structure after the first opening is formed, and removing the first ring structure to form a gap between the second and third ring structures. A semiconductor device structure includes a dielectric layer over a substrate, a first ring structure over the dielectric layer, and a second ring structure over the dielectric layer and surrounding the first ring structure, wherein the first and the second ring structures have a first common center.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: February 2, 2021
    Assignee: Nanya Technology Corporation
    Inventor: Yu-Han Hsueh
  • Patent number: 10886174
    Abstract: Semiconductor structure and fabrication method are provided. An exemplary method includes: providing a to-be-etched layer; forming a first mask material layer with a barrier region on the to-be-etched layer; forming a first mask groove and a second mask groove separated from each other in the first mask material layer and exposing two sidewalls of the barrier region along an extending direction of the first mask groove; forming barrier layers on exposed sidewalls of the barrier region; forming a first mask through hole in the barrier region of the first mask material layer by etching a portion of the barrier region of the first mask material layer by using the barrier layers as a mask; and forming a first groove, a second groove, and a through hole, by etching the to-be-etched layer using the barrier layers and the first mask material layer as a mask.
    Type: Grant
    Filed: March 25, 2019
    Date of Patent: January 5, 2021
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Cheng Long Zhang
  • Patent number: 10872777
    Abstract: The present disclosure relates to integrated circuit device manufacturing processes. A self-aligned double patterning method is provided. In the method, a lithography process for line cut that determines the locations of line termini is performed after forming a spacer layer alongside the mandrel and prior to stripping the mandrel. The lithographic mask for the line cut is aligned to the mandrel and the spacer layer using a mark made of the mandrel material and the spacer material. Compared to the previous approach where the line cut process is performed after the mandrel removal, in the disclosed approach, the line termini mask is made of the mandrel material and the spacer material, and is more distinguishable compared to a mark made of just the spacer material. Thereby, the methods provide robust photo alignment signal for the line cut photolithography and precise positioning of the line termini mask.
    Type: Grant
    Filed: September 30, 2019
    Date of Patent: December 22, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jui-Yu Pan, Kuo-Chyuan Tzeng, Lee-Chuan Tseng, Ying-Hua Chen
  • Patent number: 10868143
    Abstract: A method includes forming a spacer layer on a top surface and sidewalls of a patterned feature, wherein the patterned feature is overlying a base layer. A protection layer is formed to contact a top surface and a sidewall surface of the spacer layer. The horizontal portions of the protection layer are removed, wherein vertical portions of the protect layer remain after the removal. The spacer layer is etched to remove horizontal portions of the spacer layer, wherein vertical portions of the spacer layer remain to form parts of spacers.
    Type: Grant
    Filed: October 10, 2019
    Date of Patent: December 15, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Sheng Chang, Chung-Ju Lee, Tien-I Bao
  • Patent number: 10868129
    Abstract: Methods and structures for forming devices, such as transistors, are discussed. A method embodiment includes forming a gate spacer along a sidewall of a gate stack on a substrate; passivating at least a portion of an exterior surface of the gate spacer; and epitaxially growing a material in the substrate proximate the gate spacer while the at least the portion of the exterior surface of the gate spacer remains passivated. The passivating can include using at least one of a thermal treatment, a plasma treatment, or a thermal treatment.
    Type: Grant
    Filed: September 12, 2019
    Date of Patent: December 15, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun Hsiung Tsai, Kuo-Feng Yu
  • Patent number: 10720526
    Abstract: A method includes etching a first portion and a second portion of a dummy gate stack to form a first opening and a second opening, respectively, and depositing a silicon nitride layer to fill the first opening and the second opening. The deposition of the silicon nitride layer comprises a first process selected from treating the silicon nitride layer using hydrogen radicals, implanting the silicon nitride layer, and combinations thereof. The method further includes etching a third portion of the dummy gate stack to form a trench, etching a semiconductor fin underlying the third portion to extend the trench down into a bulk portion of a semiconductor substrate underlying the dummy gate stack, and depositing a second silicon nitride layer into the trench.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: July 21, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Ting Ko, Han-Chi Lin, Chunyao Wang, Ching Yu Huang, Tze-Liang Lee, Yung-Chih Wang
  • Patent number: 10672612
    Abstract: The present invention provides a method of forming a semiconductor structure including the following steps. Firstly, a target layer is formed on a substrate, and a plurality of mandrels is formed on the target layer. Next, a material layer is formed on the target layer to cover the mandrels. Then, an etching process is performed to partially remove each of the mandrel and the material layer covered on each mandrel, to form a plurality of mask. Finally, the target layer is patterned through the masks, to form a plurality of patterns. Through the present invention, each mask comprises an unetched portion of each mandrel and a spacer portion of the material covered on each mandrel, and a dimension of each of the patterns is larger than a dimension of each of the mandrel.
    Type: Grant
    Filed: May 3, 2018
    Date of Patent: June 2, 2020
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Gang-Yi Lin, Feng-Yi Chang, Ying-Chih Lin, Fu-Che Lee
  • Patent number: 10636657
    Abstract: A semiconductor pitch patterning can include a method comprising forming a first set of spacers on a surface of a substrate. The method can include directionally depositing a mask material on the first set of spacers and on the surface of the substrate. The method can include selectively depositing a second set of spacers on side surfaces of the first set of spacers and a portion of the mask material in contact with the surface of the substrate. The method can include removing portions of the mask material from the surface of the substrate.
    Type: Grant
    Filed: December 3, 2018
    Date of Patent: April 28, 2020
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 10559465
    Abstract: In one implementation, a method of forming an amorphous silicon layer on a substrate in a processing chamber is provided. The method comprises depositing a predetermined thickness of a sacrificial dielectric layer over a substrate. The method further comprises forming patterned features on the substrate by removing portions of the sacrificial dielectric layer to expose an upper surface of the substrate. The method further comprises performing a plasma treatment to the patterned features. The method further comprises depositing an amorphous silicon layer on the patterned features and the exposed upper surface of the substrate. The method further comprises selectively removing the amorphous silicon layer from an upper surface of the patterned features and the upper surface of the substrate using an anisotropic etching process to provide the patterned features filled within sidewall spacers formed from the amorphous silicon layer.
    Type: Grant
    Filed: May 24, 2018
    Date of Patent: February 11, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Rui Cheng, Yi Yang, Yihong Chen, Karthik Janakiraman, Abhijit Basu Mallick
  • Patent number: 10535520
    Abstract: The present disclosure provides a method in accordance with some embodiments. The method includes forming a material layer that includes an array of fin features, wherein at least one fin feature has a first material on a first sidewall and a second material on a second sidewall that is opposite to the first sidewall, wherein the first material is different from the second material. The method further includes exposing the second sidewall of the at least one fin feature and removing the at least one fin feature.
    Type: Grant
    Filed: August 23, 2017
    Date of Patent: January 14, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chin-Yuan Tseng, Wei-Liang Lin, Li-Te Lin, Ru-Gun Liu, Min Cao
  • Patent number: 10535646
    Abstract: Methods disclosed herein form semiconductor devices having minimum spacings that correlate with spacer widths. An exemplary method includes forming a target layer over a substrate, forming a patterning layer over the target layer, and etching the target layer using the patterning layer as an etch mask. The patterning layer includes a first pattern feature, a second pattern feature spaced a first distance (corresponding with a first width of a first spacer fabricated during a first spacer patterning process) from the first pattern feature, and a third pattern feature spaced a second distance (corresponding with a second width of a second spacer fabricated during a second spacer patterning process) from the first pattern feature and a third distance (corresponding with a third width of a third spacer formed during the second spacer patterning process) from the second pattern feature.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: January 14, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Ming Chang, Ming-Feng Shieh, Ru-Gun Liu, Tsai-Sheng Gau
  • Patent number: 10505018
    Abstract: A method includes forming a spacer layer on a top surface and sidewalls of a patterned feature, wherein the patterned feature is overlying a base layer, A protection layer is formed to contact a top surface and a sidewall surface of the spacer layer. The horizontal portions of the protection layer are removed, wherein vertical portions of the protect layer remain after the removal. The spacer layer is etched to remove horizontal portions of the spacer layer, wherein vertical portions of the spacer layer remain to form parts of spacers.
    Type: Grant
    Filed: March 15, 2017
    Date of Patent: December 10, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Sheng Chang, Chung-Ju Lee, Tien-I Bao
  • Patent number: 10483119
    Abstract: The present disclosure relates to integrated circuit device manufacturing processes. A self-aligned double patterning method is provided. In the method, a lithography process for line cut that determines the locations of line termini is performed after forming a spacer layer alongside the mandrel and prior to stripping the mandrel. The lithographic mask for the line cut is aligned to the mandrel and the spacer layer using a mark made of the mandrel material and the spacer material. Compared to the previous approach where the line cut process is performed after the mandrel removal, in the disclosed approach, the line termini mask is made of the mandrel material and the spacer material, and is more distinguishable compared to a mark made of just the spacer material. Thereby, the methods provide robust photo alignment signal for the line cut photolithography and precise positioning of the line termini mask.
    Type: Grant
    Filed: October 16, 2018
    Date of Patent: November 19, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jui-Yu Pan, Kuo-Chyuan Tzeng, Lee-Chuan Tseng, Ying-Hua Chen
  • Patent number: 10446406
    Abstract: A method of manufacturing a semiconductor device includes depositing a first material on a substrate, depositing on the substrate a second material that has an etch selectivity different from an etch selectively of the first material, depositing a spacer material on the first and second material, and etching the substrate using the spacer material as an etch mask to form a fin under the first material and a fin under the second material.
    Type: Grant
    Filed: May 3, 2017
    Date of Patent: October 15, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Lei-Chun Chou, Chih-Liang Chen, Chih-Ming Lai, Charles Chew-Yuen Young, Chin-Yuan Tseng, Hsin-Chih Chen, Shi Ning Ju, Jiann-Tyng Tzeng, Kam-Tou Sio, Ru-Gun Liu, Wei-Cheng Lin, Wei-Liang Lin
  • Patent number: 10395985
    Abstract: A method for forming conductive lines comprises forming a hardmask on an insulator layer, a planarizing layer on the hardmask, and a hardmask on the planarizing layer, removing exposed portions of a layer of sacrificial mandrel material to form first and second sacrificial mandrels on the hardmask, and depositing a layer of spacer material in the gap, and over exposed portions of the first and second sacrificial mandrels and the hardmask. Portions of the layer of spacer material are removed to expose the first and second sacrificial mandrels. A filler material is deposited between the first and second sacrificial mandrels. A portion of the filler material is removed to expose the first and second sacrificial mandrels. Portions of the layer of spacer material are removed to expose portions of the hardmask. A trench is formed in the insulator layer, and the trench is filled with a conductive material.
    Type: Grant
    Filed: April 12, 2018
    Date of Patent: August 27, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sean D. Burns, Lawrence A. Clevenger, Matthew E. Colburn, Sivananda K. Kanakasabapathy, Yann A. M. Mignot, Christopher J. Penny, Roger A. Quon, Nicole A. Saulnier
  • Patent number: 10381233
    Abstract: A substrate processing method according to exemplary embodiments includes bringing removal solution obtained by mixing a nitric acid, a strong acid stronger than the nitric acid, and water into contact with a substrate in which a boron monofilm is formed on a film including a silicon-based film so as to remove the boron monofilm from the substrate.
    Type: Grant
    Filed: December 22, 2017
    Date of Patent: August 13, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Koji Kagawa, Syuhei Yonezawa, Kazuya Dobashi, Toshihide Takashima, Masaru Amai
  • Patent number: 10381217
    Abstract: In a method of deposition a thin film, a substrate having a pattern may be provided. A surface of the substrate may be treated using a deposition-suppressing gas to form a deposition-suppressing layer on the pattern. A process gas may be applied to the pattern to deposit the thin film. The deposition-suppressing gas may include fluorine.
    Type: Grant
    Filed: November 17, 2017
    Date of Patent: August 13, 2019
    Assignee: WONIK IPS CO., LTD.
    Inventors: Byung Chul Cho, Sang Jin Lee, In Hwan Yi, Kwang Seon Jin
  • Patent number: 10366917
    Abstract: Methods of patterning metallization lines having variable widths in a metallization layer. A first mandrel layer is formed over a mask layer, with the mask layer overlying a second mandrel layer. The first mandrel layer is etched to form mandrel lines that have variable widths. The first non-mandrel trenches are etched in the mask layer, where the non-mandrel trenches have variable widths. The first mandrel lines are used to etch mandrel trenches in the mask layer, so that the mandrel lines and first non-mandrel lines define a mandrel pattern. The second mandrel layer is etched according to the mandrel pattern to form second mandrel lines, with the second mandrel lines having the variable widths of the plurality of first mandrel lines and the variable widths of the plurality of non-mandrel trenches.
    Type: Grant
    Filed: January 4, 2018
    Date of Patent: July 30, 2019
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Xuelian Zhu, Jia Zeng, Chenchen Wang, Jongwook Kye
  • Patent number: 10319640
    Abstract: FinFET devices and processes to prevent fin or gate collapse (e.g., flopover) in finFET devices are provided. The method includes forming a first set of trenches in a semiconductor material and filling the first set of trenches with insulator material. The method further includes forming a second set of trenches in the semiconductor material, alternating with the first set of trenches that are filled. The second set of trenches form semiconductor structures which have a dimension of fin structures. The method further includes filling the second set of trenches with insulator material. The method further includes recessing the insulator material within the first set of trenches and the second set of trenches to form the fin structures.
    Type: Grant
    Filed: August 29, 2018
    Date of Patent: June 11, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Patent number: 10283362
    Abstract: A method of forming fine line patterns of semiconductor devices includes: forming a plurality of lower linear core structures on at least one lower hard mask layer disposed on a target layer; forming a spacer layer on the hard mask layer to cover the lower linear core structures; forming an upper hard mask layer on the spacer layer; thinning the upper hard mask layer to expose potions of the spacer layer; and removing the exposed portions of the spacer layer to form a plurality of line patterns on the lower hard mask layer.
    Type: Grant
    Filed: August 17, 2017
    Date of Patent: May 7, 2019
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Shing-Yih Shih
  • Patent number: 10269578
    Abstract: An etching method of etching a processing target object is provided. The processing target object has a supporting base body and a processing target layer. The processing target layer is provided on a main surface of the supporting base body and includes protrusion regions. Each protrusion region is extended upwards from the main surface, and an end surface of each protrusion region is exposed when viewed from above the main surface. The etching method includes a first process of forming a film on the end surface of each protrusion region; a second process of selectively exposing one or more end surfaces by anisotropically etching the film formed through the first process; and a third process of anisotropically etching the one or more end surfaces exposed through the second process atomic layer by atomic layer. The processing target layer contains silicon nitride, and the film contains silicon oxide.
    Type: Grant
    Filed: April 13, 2018
    Date of Patent: April 23, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Sho Kumakura, Masahiro Tabata
  • Patent number: 10256146
    Abstract: A semiconductor device and method of forming the same, the semiconductor device includes a first and second fin shaped structures, a first and second gate structures and a first and second plugs. The first and second fin shaped structures are disposed on a first region and a second region of a substrate and the first and second gate structure are disposed across the first and second fin shaped structures, respectively. A dielectric layer is disposed on the substrate, covering the first and second gate structure. The first and second plugs are disposed in the dielectric layer, wherein the first plug is electrically connected first source/drain regions adjacent to the first gate structure and contacts sidewalls of the first gate structure, and the second plug is electrically connected to second source/drain regions adjacent to the second gate structure and not contacting sidewalls of the second gate structure.
    Type: Grant
    Filed: January 14, 2018
    Date of Patent: April 9, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Yu-Hsiang Hung, Ssu-I Fu, Chao-Hung Lin, Chih-Kai Hsu, Jyh-Shyang Jenq
  • Patent number: 10163885
    Abstract: Semiconductor devices disclosed herein have minimum spacings that correlate with spacer widths. An exemplary semiconductor device includes a substrate and a target layer disposed over the substrate. The target layer includes a first target feature, a second target feature, and a third target feature. The second target feature is spaced a first distance from the first target feature, and the third target feature is spaced a second distance from the first target feature. The first distance corresponds with a first width of a first spacer fabricated during a first spacer patterning process, and the second distance corresponds with a second width of a second spacer fabricated during a second spacer patterning process.
    Type: Grant
    Filed: August 4, 2017
    Date of Patent: December 25, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Ming Chang, Ming-Feng Shieh, Ru-Gun Liu, Tsai-Sheng Gau
  • Patent number: 10163653
    Abstract: A plasma etching method for plasma-etching an object including an etching target film and a patterned mask. The plasma etching method includes a first step of plasma-etching the etching target film using the mask, and a second step of depositing a silicon-containing film using plasma of a silicon-containing gas on at least a part of a side wall of the etching target film etched by the first step.
    Type: Grant
    Filed: May 9, 2014
    Date of Patent: December 25, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Keiji Kitagaito, Fumiya Kobayashi, Maju Tomura
  • Patent number: 10083864
    Abstract: A method for forming conductive lines comprises forming a hardmask on an insulator layer, a planarizing layer on the hardmask, and a hardmask on the planarizing layer, removing exposed portions of a layer of sacrificial mandrel material to form first and second sacrificial mandrels on the hardmask, and depositing a layer of spacer material in the gap, and over exposed portions of the first and second sacrificial mandrels and the hardmask. Portions of the layer of spacer material are removed to expose the first and second sacrificial mandrels. A filler material is deposited between the first and second sacrificial mandrels. A portion of the filler material is removed to expose the first and second sacrificial mandrels. Portions of the layer of spacer material are removed to expose portions of the hardmask. A trench is formed in the insulator layer, and the trench is filled with a conductive material.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: September 25, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sean D. Burns, Lawrence A. Clevenger, Matthew E. Colburn, Sivananda K. Kanakasabapathy, Yann A. M. Mignot, Christopher J. Penny, Roger A. Quon, Nicole A. Saulnier
  • Patent number: 10056258
    Abstract: A method includes forming a mask layer over a target layer. A merge cut feature is formed in the mask layer. A first mandrel layer is formed over the mask layer and the merge cut feature. The first mandrel layer is patterned to form first openings therein. First spacers are formed on sidewalls of the first openings. The first openings are filled with a dielectric material to form plugs. The first mandrel layer is patterned to remove portions of the first mandrel layer interposed between adjacent first spacers. The merge cut feature is patterned using the first spacers and the plugs as a combined mask. The plugs are removed. The mask layer is patterned using the first spacers as a mask. The target layer is patterned, using the mask layer and the merge cut feature as a combined mask, to form second openings therein.
    Type: Grant
    Filed: October 3, 2017
    Date of Patent: August 21, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yan-Jhi Huang, Yu-Yu Chen
  • Patent number: 10037920
    Abstract: A method of forming a semiconductor device includes receiving a substrate with a gate structure and forming a spacer layer over the substrate and the gate structure. The method further includes implanting carbon into the spacer layer at an angle tilted away from a first direction perpendicular to a top surface of the substrate, which increases etch resistance of the spacer layer on sidewalls of the gate structure. The method optionally includes implanting germanium into the spacer layer at the first direction, which decreases etch resistance of the spacer layer overlaying the gate structure and the substrate. The method further includes etching the spacer layer to expose the gate structure, resulting in a first portion of the spacer layer on the sidewalls of the gate structure. Due to increased etch resistance, the first portion of the spacer layer maintains its profile and thickness in subsequent fabrication processes.
    Type: Grant
    Filed: September 26, 2016
    Date of Patent: July 31, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun Hsiung Tsai, Jian-An Ke
  • Patent number: 9991118
    Abstract: Implementations of the present disclosure relate to improved hardmask materials and methods for patterning and etching of substrates. A plurality of hardmasks may be utilized in combination with patterning and etching processes to enable advanced device architectures. In one implementation, a first hardmask and a second hardmask disposed on a substrate having various material layers disposed thereon. The second hardmask may be utilized to pattern the first hardmask during a first etching process. A third hardmask may be deposited over the first and second hardmasks and a second etching process may be utilized to form channels in the material layers.
    Type: Grant
    Filed: January 4, 2017
    Date of Patent: June 5, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Thomas Jongwan Kwon, Rui Cheng, Abhijit Basu Mallick, Er-Xuan Ping, Jaesoo Ahn
  • Patent number: 9911604
    Abstract: Disclosed are methods of using a lithography-lithography-etch (LLE) technique to form a sidewall spacer pattern for patterning a target layer. In the methods, a photoresist layer is patterned by performing multiple lithographic processes with different photomasks, including a first photomask with a first pattern of parallel bars separated by spaces and a second photomask with a second pattern of opening(s) oriented in an essentially perpendicular direction as compared to the bar(s). The photoresist layer is then developed, creating a third pattern. The third pattern is transferred into a mandrel layer below to form mandrels of different lengths. Then, sidewall spacers are formed on the mandrels and the mandrels are selectively removed to form the sidewall spacer pattern. This sidewall spacer pattern is subsequently used in a sidewall image transfer (SIT) process to pattern a target layer below.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: March 6, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Lei Sun, Xunyuan Zhang, Ruilong Xie, Yulu Chen
  • Patent number: 9892977
    Abstract: A method of generating a fin of a FinFET includes depositing a first hard mask layer on or above a first dummy gate and a second dummy gate, generating first spacers and second spacers by etching the first hard mask layer, removing only the first spacers, depositing a second hard mask layer, generating third spacers and fourth spacers by etching the second hard mask layer, removing the first dummy gate and the second dummy gate, generating first fins using the third spacers, and generating second fins using the second spacers and the fourth spacers.
    Type: Grant
    Filed: September 20, 2016
    Date of Patent: February 13, 2018
    Assignee: Samsing Electronics Co., Ltd.
    Inventors: Sang Woo Pae, Hyun Chul Sagong, Jin Ju Kim, June Kyun Park
  • Patent number: 9882024
    Abstract: A method of making a semiconductor device includes forming a fin in a substrate; depositing a first spacer material to form a first spacer around the fin; depositing a second spacer material to form a second spacer over the first spacer; recessing the first spacer and the second spacer; removing the first spacer; and performing an epitaxial growth process to form epitaxial growth on an end of the fin, along a sidewall of the fin, and adjacent to the fin.
    Type: Grant
    Filed: November 28, 2016
    Date of Patent: January 30, 2018
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, GLOBALFOUNDRIES, INC.
    Inventors: Kangguo Cheng, Zuoguang Liu, Ruilong Xie, Tenko Yamashita
  • Patent number: 9812333
    Abstract: Provided is a nanoscale patterning method using self-assembly, wherein nanoscale patterns having desirable shapes such as a lamella shape, a cylinder shape, and the like, may be formed by using a self-assembly property of a block copolymer, and low segment interaction caused in a structure of 10 nm or less which is a disadvantage of the block copolymer may be prevented. In addition, even though single photolithography is used, pattern density may double as that of the existing nano patterns, and pitch and cycle of the patterns may be controlled to thereby be largely utilized for electronic apparatuses requiring high integration of circuits such as a semiconductor device, and the like.
    Type: Grant
    Filed: December 26, 2014
    Date of Patent: November 7, 2017
    Assignees: Korea Advanced Institute of Science and Technology, Institute for Basic Science
    Inventors: Sang Ouk Kim, Hyoung-Seok Moon
  • Patent number: 9780000
    Abstract: A method for forming spacers of a gate of a field-effect transistor is provided, including at least one step of forming a protective layer covering the gate; depositing a layer comprising carbon, said layer being disposed distant from said transistor; modifying the protective layer to form a modified protective layer; forming a protective film on the layer comprising carbon; removing the protective film on surfaces of the protective film that are perpendicular to a main implantation direction; selectively removing the layer comprising carbon; and at least one step of selectively removing the modified protective layer.
    Type: Grant
    Filed: November 25, 2016
    Date of Patent: October 3, 2017
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Nicolas Posseme, Maxime Garcia-Barros
  • Patent number: 9741626
    Abstract: A method of forming a vertical transistor includes forming at least one fin on stacked layers. The stacked layers include a substrate, a doped silicon layer, and an intrinsic layer interposed between the pair of fins and the substrate. The method further includes forming a spacer hardmask over the pair of fins, and forming a bottom spacer. Forming the bottom spacer includes selective oxidation of the SiGe layer.
    Type: Grant
    Filed: October 20, 2016
    Date of Patent: August 22, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Nicolas J. Loubet, Xin Miao, Alexander Reznicek
  • Patent number: 9735140
    Abstract: The present disclosure describes methods for transferring a desired layout into a target layer. The method includes a step of forming a spacer, having a second width, around a first and a second desired layout feature pattern of the desired layout over a semiconductor substrate. The first desired layout feature pattern is formed using a first sub-layout and the second desired layout feature pattern is formed using a second sub-layout. The first and second desired layout feature patterns are separated by a first width. The method further includes forming a third desired layout feature pattern according to a third sub-layout. The third desired layout feature pattern is shaped in part by the spacer. The method further includes removing the spacer from around the first and second desired layout feature pattern and etching the target layer using the first, second, and third layout feature patterns as masking features.
    Type: Grant
    Filed: June 6, 2016
    Date of Patent: August 15, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Ming Chang, Ming-Feng Shieh, Ru-Gun Liu, Tsai-Sheng Gau