Having Microwave Gas Energizing Patents (Class 438/726)
  • Patent number: 11817321
    Abstract: A plasma processing apparatus includes a plurality of plasma processing chambers, a process gas supply line that supplies a plasma processing gas to the plasma processing chambers, a first additive gas supply line that supplies an additive gas to the plasma processing chambers, an exhaust space shared by the plurality of plasma processing chambers, and a controller. The controller determines a first plasma processing chamber group and a second plasma processing chamber group. The first plasma processing chamber group includes one or more plasma processing chambers, each of which performs the plasma processing and the second plasma processing chamber group includes one or more plasma processing chambers, each of which does not perform the plasma processing. The controller causes the additive gas to be supplied to the one or more plasma processing chambers of the second plasma processing chamber group from the first additive gas supply line.
    Type: Grant
    Filed: October 14, 2021
    Date of Patent: November 14, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Yuzuru Sakai, Ryo Terashima
  • Patent number: 11152193
    Abstract: A waveguide has a first conductor surface facing toward the interior of the waveguide, a second conductor surface facing toward the interior of the waveguide, and a slot extending from the first conductor surface to the outside of the waveguide. The first conductor surface and the second conductor surface electrically communicate with each other and face each other. The first length in the y direction of the first conductor surface in a cross section perpendicular to the z direction is smaller than the second length in the y direction of the second conductor surface in the cross section perpendicular to the z direction. The first length includes the length in the y direction of the slot in the cross section perpendicular to the z direction. The second length is smaller than the distance between the first conductor surface and the second conductor surface in the x direction.
    Type: Grant
    Filed: December 17, 2018
    Date of Patent: October 19, 2021
    Assignee: NATIONAL UNIVERSITY CORPORATION TOKAI NATIONAL HIGHER EDUCATION AND RESEARCH SYSTEM
    Inventors: Hirotaka Toyoda, Haruka Suzuki
  • Patent number: 10840359
    Abstract: A method for manufacturing a semiconductor device includes: a first insulating film forming step of forming a first insulating film in a transistor having a structure in which a source and a drain raised in a fin shape are covered with a gate; a sacrifice film forming step of forming a sacrifice film; a hard mask pattern forming step of forming a hard mask film having a desired pattern; a first opening forming step of forming a first opening; a second insulating film forming step of forming a second insulating film made of a material different from the first insulating film, in the first opening; a second opening forming step of forming a second opening by removing the sacrifice film, after the second insulating film forming step; and a contact plug forming step of forming a contact plug in the second opening.
    Type: Grant
    Filed: June 27, 2016
    Date of Patent: November 17, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Koichi Yatsuda
  • Patent number: 9433073
    Abstract: Certain embodiments described herein are directed to induction devices that can be used to sustain a plasma. In certain configurations, the induction device may comprise one or more radial fins electrically coupled to a base. The induction device may take numerous forms including, for example, coils and plate electrodes.
    Type: Grant
    Filed: January 23, 2015
    Date of Patent: August 30, 2016
    Assignee: PerkinElmer Health Sciences, Inc.
    Inventors: Tak Shun Cheung, Chui Ha Cindy Wong
  • Patent number: 8975192
    Abstract: A method is provided for manufacturing a semiconductor device having a heat-resistant resin film with flip-chip connection structure using a solder bump or a gold bump and an epoxy resin compound laminated thereon, in which adhesiveness is improved particularly after exposure to high temperature and high humidity environments for a long period of time, thereby enhancing the reliability of the semiconductor device. The method, in accordance with the present invention, for manufacturing a semiconductor device having a heat-resistant resin film formed on a semiconductor element and an epoxy resin compound layer laminated thereon, comprises the steps of carrying out a plasma treatment on a surface of the heat-resistant resin film on which the epoxy resin compound layer is laminated using a nitrogen atom-containing gas containing at least one of nitrogen, ammonia, and hydrazine.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: March 10, 2015
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Yasunori Kojima, Toshiaki Itabashi
  • Publication number: 20150064926
    Abstract: A plasma processing method can etch regions having different densities at the same etching rates. When etching with surface wave plasma, both of layers contain Si and N, a processing gas includes a hydro fluorocarbon gas, a rare gas, and an oxygen gas, and a high frequency bias potential is applied to a preset location at a side of a substrate. Further, a power per unit area of the substrate, which generates the high frequency bias potential, is set to be about 0 W/m2 or more to about 400 W/m2 or less.
    Type: Application
    Filed: September 2, 2014
    Publication date: March 5, 2015
    Inventors: Tomiko Kamada, Hiroto Ohtake
  • Patent number: 8962454
    Abstract: Embodiments of the invention describe a method for forming dielectric films for semiconductor devices. The method includes providing a substrate in a process chamber containing a microwave plasma source, introducing into the process chamber a non-metal-containing process gas including a deposition gas having a carbon-nitrogen intermolecular bond, forming a plasma from the process gas, and exposing the substrate to the plasma to deposit carbon-nitrogen-containing film on the substrate. In some embodiments, the carbon-nitrogen-containing film can include a CN film, a CNO film, a Si-doped CN film, or a Si-doped CNO film.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: February 24, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Takaba
  • Patent number: 8889023
    Abstract: A plasma processing apparatus includes a flow splitter for dividing a common gas into two common gas streams of common gas branch lines. A central introduction portion connected to one of the common gas branch lines supplies a common gas to a central portion of a substrate to be processed. A peripheral introducing portion connected to the other one of the common gas branch lines supplies the common gas to a peripheral portion of the substrate. The peripheral introducing portion has peripheral inlets arranged about a circumferential region above the substrate. An additive gas line is connected to an additive gas source to add an additive gas to at least one of the common gas branch lines. In addition, an electron temperature of a plasma in a region where the peripheral inlets are disposed is lower than that in a region where the introduction portion is disposed.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: November 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Matsumoto, Wataru Yoshikawa, Yasuhiro Seo, Kazuyuki Kato
  • Patent number: 8846539
    Abstract: A plasma processing apparatus includes a heater in thermal contact with a showerhead electrode, and a temperature controlled top plate in thermal contact with the heater to maintain a desired temperature of the showerhead electrode during semiconductor substrate processing. A gas distribution member supplies a process gas and radio frequency (RF) power to the showerhead electrode.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: September 30, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric Lenz
  • Patent number: 8808562
    Abstract: A method of etching an aluminum-containing layer on a substrate is described. The method includes forming plasma from a process composition containing a halogen element, and exposing the substrate to the plasma to etch the aluminum-containing layer. The method may additionally include exposing the substrate to an oxygen-containing environment to oxidize a surface of the aluminum-containing layer and control an etch rate of the aluminum-containing layer. The method may further include forming first plasma from a process composition containing HBr and an additive gas having the chemical formula CxHyRz (wherein R is a halogen element, x and y are equal to unity or greater, and z is equal to zero or greater), forming second plasma from a process composition containing HBr, and exposing the substrate to the first plasma and the second plasma to etch the aluminum-containing layer.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: August 19, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Yusuke Ohsawa, Hiroto Ohtake, Eiji Suzuki, Kaushik Arun Kumar, Andrew W. Metz
  • Patent number: 8741779
    Abstract: A plasma processing apparatus for processing an object to be processed using a plasma. The apparatus includes a processing chamber defining a processing cavity for containing an object to be processed and a process gas therein, a microwave radiating antenna having a microwave radiating surface for radiating a microwave in order to excite a plasma in the processing cavity, and a dielectric body provided so as to be opposed to the microwave radiating surface, in which the distance D between the microwave radiating surface and a surface of the dielectric body facing away from the microwave radiating surface, which is represented with the wavelength of the microwave being a distance unit, is determined to be in the range satisfying the inequality 0.7×n/4?D?1.3×n/4 (n being a natural number).
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: June 3, 2014
    Assignees: ROHM Co., Ltd.
    Inventors: Tadahiro Ohmi, Kazuhide Ino, Takahiro Arakawa
  • Publication number: 20140080311
    Abstract: A plasma processing method includes holding a target substrate on a holding table installed in a processing chamber; generating a microwave for plasma excitation; supplying a reactant gas having dissociation property; generating an electric field by introducing the microwave via a dielectric plate disposed to face the holding table; setting a distance between the holding table and the dielectric plate is set to a first distance based on periodicity of a standing wave formed in the dielectric plate by the introduction of the microwave, and generating plasma in the processing chamber in a state where the electric field is generated in the processing chamber; and after the generating of the plasma, setting the distance to a second distance shorter than the first distance by moving the holding table up and down, and performing the plasma process on the target substrate.
    Type: Application
    Filed: November 22, 2013
    Publication date: March 20, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Naoki Matsumoto, Jun Yoshikawa, Tetsuya Nishizuka, Masaru Sasaki
  • Patent number: 8658532
    Abstract: Various lithography methods are disclosed. An exemplary lithography method includes forming a first patterned silicon-containing organic polymer layer over a substrate by removing a first patterned resist layer, wherein the first patterned silicon-containing organic polymer layer includes a first opening having a first dimension and a second opening having the first dimension, the first opening and the second opening exposing the substrate; forming a second patterned silicon-containing organic polymer layer over the substrate by removing a second patterned resist layer, wherein a portion of the patterned second silicon-containing organic polymer layer combines with a portion of the first patterned silicon-containing organic polymer layer to reduce the first dimension of the second opening to a second dimension; and etching the substrate exposed by the first opening having the first dimension and the second opening having the second dimension.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: February 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8652342
    Abstract: A semiconductor fabrication apparatus and a method of fabricating a semiconductor device using the same performs semiconductor etching and deposition processes at an edge of a semiconductor substrate after disposing the semiconductor substrate at a predetermined place in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus has lower, middle and upper electrodes sequentially stacked. The semiconductor substrate is disposed on the middle electrode. Semiconductor etching and deposition processes are performed on the semiconductor substrate in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus forms electrical fields along an edge of the middle electrode during performance of the semiconductor etching and deposition processes.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: February 18, 2014
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Kyung-Woo Lee, Jin-Sung Kim, Joo-Byoung Yoon, Yeong-Cheol Lee, Sang-Jun Park, Hee-Kyeong Jeon
  • Publication number: 20130224961
    Abstract: A resonator system is provided with one or more resonant cavities configured to couple electromagnetic (EM) energy in a desired EM wave mode to plasma by generating resonant microwave energy in a resonant cavity adjacent the plasma. The resonator system can be coupled to a process chamber using one or more interface and isolation assemblies, and each resonant cavity can have a plurality of plasma tuning rods coupled thereto. The plasma tuning rods can be configured to couple the EM-energy from the resonant cavities to the process space within the process chamber.
    Type: Application
    Filed: March 15, 2013
    Publication date: August 29, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tokyo Electron Limited
  • Patent number: 8513134
    Abstract: In a semiconductor device producing method according to one embodiment, an insulating film containing silicon is formed on a semiconductor substrate, a resist is deposited on the insulating film, the resist is patterned into a predetermined pattern, and the insulating film is processed by a dry etching treatment in which gas containing C, F, Br, H, and O is used with the resist having the predetermined pattern as a mask. A deposited film in which C and Br are coupled is produced on the resist.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: August 20, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Mitsuhiro Omura, Yumi Ohno, Takaya Matsushita, Tokuhisa Ohiwa
  • Patent number: 8513137
    Abstract: A plasma processing apparatus for processing an object to be processed using a plasma. The apparatus includes a processing chamber defining a processing cavity for containing an object to be processed and a process gas therein, a microwave radiating antenna having a microwave radiating surface for radiating a microwave in order to excite a plasma in the processing cavity, and a dielectric body provided so as to be opposed to the microwave radiating surface, in which the distance D between the microwave radiating surface and a surface of the dielectric body facing away from the microwave radiating surface, which is represented with the wavelength of the microwave being a distance unit, is determined to be in the range satisfying the inequality 0.7×n/4?D?1.3×n/4 (n being a natural number).
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: August 20, 2013
    Assignees: Rohm Co., Ltd., Tadahiro Ohmi
    Inventors: Tadahiro Ohmi, Kazuhide Ino, Takahiro Arakawa
  • Patent number: 8497214
    Abstract: A semiconductor device manufacturing method, the method including: forming a semiconductor element on a semiconductor substrate; and by using microwaves as a plasma source, forming an insulation film on the semiconductor element by performing a CVD process using microwave plasma having an electron temperature of plasma lower than 1.5 eV and an electron density of plasma higher than 1×1011 cm?3 near a surface of the semiconductor substrate.
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: July 30, 2013
    Assignees: Tokyo Electron Limited, National University Corporation Tohoku University
    Inventors: Hirokazu Ueda, Toshihisa Nozawa, Takaaki Matsuoka, Akinobu Teramoto, Tadahiro Ohmi
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 8461047
    Abstract: A method for processing an amorphous carbon film which has been formed on a substrate and wet-cleaned after being dry-etched includes preparing the substrate having the wet-cleaned amorphous carbon film and modifying a surface of the amorphous carbon film, before forming an upper layer on the wet-cleaned amorphous carbon film.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: June 11, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiraku Ishikawa, Takaaki Matsuoka
  • Publication number: 20130115781
    Abstract: A plasma processing apparatus includes a flow splitter for dividing a common gas into two common gas streams of common gas branch lines. A central introduction portion connected to one of the common gas branch lines supplies a common gas to a central portion of a substrate to be processed. A peripheral introducing portion connected to the other one of the common gas branch lines supplies the common gas to a peripheral portion of the substrate. The peripheral introducing portion has peripheral inlets arranged about a circumferential region above the substrate. An additive gas line is connected to an additive gas source to add an additive gas to at least one of the common gas branch lines. In addition, an electron temperature of a plasma in a region where the peripheral inlets are disposed is lower than that in a region where the introduction portion is disposed.
    Type: Application
    Filed: December 27, 2012
    Publication date: May 9, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: TOKYO ELECTRON LIMITED
  • Publication number: 20130065399
    Abstract: A plasma processing method includes holding a wafer on a holding stage, generating plasma inside the processing chamber by a plasma generator to define a first processing region having an electron temperature higher than a predetermined value and a second processing region having an electron temperature lower than the predetermined value, moving the holding stage for the wafer to be positioned in the first processing region, performing the plasma processing of the wafer positioned in the first processing region, moving the holding stage for the wafer to be positioned in the second processing region, and stopping to generate plasma when the wafer is positioned in the second processing region after completion of the plasma processing.
    Type: Application
    Filed: November 5, 2012
    Publication date: March 14, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tokyo Electron Limited
  • Publication number: 20130029493
    Abstract: A plasma etching method, for plasma-etching a target substrate including at least a film to be etched, an organic film to become a mask of the to-be-etched film, and a Si-containing film which are stacked in order from bottom, includes the first organic film etching step, the treatment step and the second organic film etching step when the organic film is etched to form a mask pattern of the to-be-etched film. In the first organic film etching step, a portion of the organic film is etched. In the treatment step, the Si-containing film and the organic film are exposed to plasma of a rare gas after the first organic film etching step. In the second organic film etching step, the remaining portion of the organic film is etched after the treatment step.
    Type: Application
    Filed: October 4, 2012
    Publication date: January 31, 2013
    Inventors: Masahiro OGASAWARA, Sungtae Lee
  • Patent number: 8338959
    Abstract: A method for fabricating a semiconductor device comprises patterning a layer of photoresist material to form a plurality of mandrels. The method further comprises depositing an oxide material over the plurality of mandrels by an atomic layer deposition (ALD) process. The method further comprises anisotropically etching the oxide material from exposed horizontal surfaces. The method further comprises selectively etching photoresist material.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: December 25, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Ardavan Niroomand, Baosuo Zhou, Ramakanth Alapati
  • Patent number: 8258056
    Abstract: A method of lithography patterning includes forming a first material layer on a substrate; forming a first patterned resist layer including at least one opening therein on the first material layer; forming a second material layer on the first patterned resist layer and the first material layer; forming a second patterned resist layer including at least one opening therein on the second material layer; and etching the first and second material layers uncovered by the first and second patterned resist layers.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: September 4, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8252193
    Abstract: A substrate plasma processing apparatus includes a chamber of which an interior is evacuated under a predetermined vacuum condition; an RF electrode which is disposed in the chamber and configured so as to hold a substrate to be processed on a main surface thereof; an opposing electrode which is disposed opposite to the RF electrode in the chamber; an RF voltage applying device for applying an RF voltage with a predetermined frequency to the RF electrode; and a pulsed voltage applying device for applying a pulsed voltage to the RF electrode so as to be superimposed with the RF voltage and which includes a controller for controlling a timing in application of the pulsed voltage and defining a pause period of the pulsed voltage.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: August 28, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akio Ui, Takashi Ichikawa, Naoki Tamaoki, Hisataka Hayashi, Akihiro Kojima
  • Patent number: 8133325
    Abstract: This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 13, 2012
    Assignee: ULVAC, Inc.
    Inventors: Masahisa Ueda, Yutaka Kokaze, Mitsuhiro Endou, Koukou Suu
  • Patent number: 8025736
    Abstract: Semiconductor device fabrication equipment performs a PEOX (physical enhanced oxidation) process, and includes a remote plasma generator for cleaning a process chamber of the equipment. After a PEOX process has been preformed, a purging gas is supplied into the process chamber to purge the process chamber, and the remote plasma generator produces plasma using a first cleaning gas. Accordingly, a reactor of the remote plasma generator is cleaned by the first cleaning gas plasma. Subsequently, the purging gas is supplied to purge the process chamber, and the remote plasma generator produces plasma using a second cleaning gas to remove the first cleaning gas plasma from the remote plasma generator and the process chamber. Finally, full flush operations are performed to remove any gases remaining in the process chamber.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: September 27, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Hwan Chin, Kyoung-In Kim, Hak-Su Jung, Kyoung-Min An
  • Publication number: 20110053381
    Abstract: Disclosed is a method for modifying an insulating film with plasma using a plasma processing apparatus which introduces a microwave into a processing chamber through a plane antenna having a plurality of holes. Processing gas containing a noble gas and oxygen is introduced into the processing chamber and microwave is introduced into the processing chamber through the plane antenna. Plasma composed mainly of O2+ ions and O(1D2) radicals is generated in a pressure condition within a range of 6.7 Pa to 267 Pa to modify the insulating film with the plasma.
    Type: Application
    Filed: August 4, 2010
    Publication date: March 3, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takashi KOBAYASHI, Daisuke KATAYAMA, Yoshihiro SATO, Junji HORII, Yoshihiro HIROTA
  • Publication number: 20110039417
    Abstract: A dielectric board (20) is arranged on a ceiling surface, which is of a processing container (2) and faces a susceptor (3), a slot antenna (30) having a plurality of slots (33) which pass through microwaves is arranged on an upper surface of the dielectric board (20), and a protruding member (21), which is composed of a member different from the dielectric board (20) and eliminates abnormal discharge, is provided on a lower peripheral section of the dielectric board (20). A field strength at the peripheral section of the dielectric board (20) is controlled by adjusting a space between an outer circumference surface (22) of a cylindrical section of the protruding member (21) and a side wall inner circumference surface (5a) of the processing container (2) or adjusting the thickness of the cylindrical section of the protruding member (21).
    Type: Application
    Filed: February 6, 2009
    Publication date: February 17, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Matsumoto, Kazuyuki Kato, Masafumi Shikata, Masaru Sasaki
  • Patent number: 7838431
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, a method of processing a substrate may include providing a substrate having at least one of a defect or a contaminant disposed on or near a surface of the substrate; and selectively annealing a portion of the substrate with a laser beam in the presence of a process gas comprising hydrogen. The laser beam may be moved over the substrate or continuously, or in a stepwise fashion. The laser beam may be applied in a continuous wave or pulsed mode. The process gas may further comprise an inert gas, such as, at least one of helium, argon, or nitrogen. A layer of material may be subsequently deposited atop the annealed substrate.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Errol Sanchez
  • Publication number: 20100283132
    Abstract: The invention relates to microelectronics, more particularly, to methods of manufacturing solid-state devices and integrated circuits utilizing microwave plasma enhancement under conditions of electron cyclotron resonance (ECR), as well as to use of plasma treatment technology in manufacturing of different semiconductor structures. Also proposed are semiconductor device and integrated circuit and methods for their manufacturing. Technical result consists in improvement of reproducibility parameters of semiconductor structures and devices processed, enhancement of devices parameters, elimination of possibility of defects formation in different regions, and speeding-up of the treatment process.
    Type: Application
    Filed: November 9, 2009
    Publication date: November 11, 2010
    Applicant: OBSCHESTVO S OGRANICHENNOI OTVETSTVENNOSTJU EPILAB
    Inventors: Sergei Jurievich Shapoval, Vyacheslav Aleksandrovich Tulin, Valery Evgenievich Zemlyakov, Jury Stepanovich Chetverov, Vladimir Leonidovich Gurtovoi
  • Patent number: 7829463
    Abstract: A plasma processing method performs a desired plasma process on substrates by using a plasma generated in a processing space. A first and a second electrode are disposed in parallel in a processing vessel that is grounded, the substrate is supported on the second electrode to face the first electrode, the processing vessel is vacuum evacuated, a desired processing gas is supplied into the processing space formed between the first electrode, the second electrode and a sidewall of the processing vessel, and a first radio frequency power is supplied to the second electrode. The first electrode is connected to the processing vessel via an insulator or a space, and is electrically coupled to a ground potential via a capacitance varying unit whose electrostatic capacitance is varied based on a process condition of the plasma process performed on the substrate.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: November 9, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Matsumoto, Chishio Koshimizu, Manabu Iwata, Satoshi Tanaka
  • Publication number: 20100279512
    Abstract: A plasma processing apparatus includes an antenna unit for generating plasma by using microwaves as a plasma source in such a way that a first region having a relatively high electron temperature of plasma, and a second region having a lower electron temperature of plasma than the first region are formed in a chamber, a first arranging means for arranging a semiconductor substrate W in the first region, a second arranging means for arranging the semiconductor substrate in the second region, and a plasma generation stopping means for stopping the generation of plasma of a plasma generating means, while the semiconductor substrate is arranged in the second region.
    Type: Application
    Filed: October 30, 2008
    Publication date: November 4, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hirokazu Udea, Tetsuya Nishizuka, Toshihisa Nozawa, Takaaki Matsuoka
  • Patent number: 7807584
    Abstract: Example embodiments are directed to methods of forming a metallic oxide film using Atomic Layer Deposition while controlling the power reflected by a reactor. The method may include feeding metallic source gases, for example, first and second metallic source gases, and/or a reactant gas including oxygen into the reactor individually. One of the metallic source gases may include an amino-group or an alkoxy-group and another metallic source gas may include neither an amino-group nor an alkoxy-group. A plasma may be produced in the reactor from the reactant gas.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: October 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-youn Kim, Seok-jun Won, Weon-hong Kim, Min-woo Song, Jung-min Park
  • Patent number: 7771689
    Abstract: A process of synthesizing metal and metal nitride nanowires, the steps comprising of: forming a catalytic metal (such as gallium, and indium) on a substrate (such as fused silica quartz, pyrolytic boron nitride, alumina, and sapphire), heating the combination in a pressure chamber, adding gaseous reactant and/or solid metal source, applying sufficient microwave energy (or current in hot filament reactor) to activate the metal of interest (such as gold, copper, tungsten, and bismuth) and continuing the process until nanowires of the desired length are formed. The substrate may be fused silica quartz, the catalytic metal a gallium or indium metal, the gaseous reactant is nitrogen and/or hydrogen and the nanowires are tungsten nitride and/or tungsten.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: August 10, 2010
    Assignee: University of Louisville Research Foundation, Inc
    Inventors: Mahendra Kumar Sunkara, Hari Chandrasekaran, Hongwei Li
  • Publication number: 20100178775
    Abstract: A shower plate is disposed in a processing chamber in a plasma processing apparatus, and plasma excitation gas is released into the processing chamber so as to generate plasma. A ceramic member having a plurality of gas release holes having a diameter of 20 ?m to 70 ?m, and/or a porous gas-communicating body having pores having a maximum diameter of not more than 75 ?m communicating in the gas-communicating direction are sintered and bonded integrally with the inside of each of a plurality of vertical holes which act as release paths for the plasma excitation gas.
    Type: Application
    Filed: September 26, 2007
    Publication date: July 15, 2010
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: Masahiro Okesaku, Tadahiro Ohmi, Tetsuya Goto, Takaaki Matsuoka, Toshihisa Nozawa, Atsutoshi Inokuchi, Kiyotaka Ishibashi
  • Publication number: 20090311872
    Abstract: A gas ring has a ring shape and includes: a gas inlet hole through which a gas is introduced from outside the gas inlet hole into the gas ring; a plurality of gas jets that ejects the gas transferred from the gas inlet hole; and a plurality of branched paths extending along the ring shape from the gas inlet hole to each of the plurality of gas jets. Here, distances between each of the plurality of gas jets to central parts, which are branch points of each of the plurality of branched paths, are identical to each other.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 17, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hirokazu UEDA, Yoshinobu TANAKA, Yasuhiro OTSUKA, Masanobu NAKAHASHI
  • Publication number: 20090311870
    Abstract: Provided is a plasma etching method capable of controlling an etching shape readily and properly during a plasma etching process. The plasma etching method includes: holding a semiconductor substrate W on a holding table 14 installed in a processing chamber 12; generating a microwave for plasma ignition; generating plasma in the processing chamber 12 by setting a gap between the dielectric plate 16 and the holding table 14 to be equal to or greater than about 100 mm and setting a pressure inside the processing chamber 12 to be equal to or higher than about 50 mTorr, and introducing the microwave into the processing chamber 12 via the dielectric plate 16; and performing a plasma etching process on the semiconductor substrate W by the plasma generated by supplying a reactant gas for plasma etching process into the processing chamber 12.
    Type: Application
    Filed: June 11, 2009
    Publication date: December 17, 2009
    Applicant: Tokyo Electron Limited
    Inventor: Masaru SASAKI
  • Patent number: 7582571
    Abstract: A substrate processing method using a substrate processing apparatus including: a process container holding a substrate to be processed therein; first gas supplying means having flow rate adjusting means for supplying a first process gas to the process container; and second gas supplying means supplying a second process gas to the process container, the substrate processing method including: a first step of controlling a flow rate of the first process gas to be a first flow rate by the flow rate adjusting means and supplying the first process gas in a first direction; a second step of discharging the first process gas from the process container; a third step of supplying the second process gas to the process container; and a fourth step of discharging the second process gas from the process container, in a repeated manner, wherein a step of stabilizing the flow rate of the process gas is set between a primary first step and a secondary first step performed subsequently to the primary first step.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: September 1, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Tamaki Takeyama, Munehisa Futamura
  • Publication number: 20090215274
    Abstract: The plasma processing apparatus includes a holding table disposed in a processing chamber, for holding thereon a target substrate; a dielectric plate disposed at a position facing the holding table, for introducing a microwave into the processing chamber; a plasma igniting unit for carrying out plasma ignition in a state in which an electric field is generated inside the processing chamber by the introduced microwave, thereby generating the plasma inside the processing chamber; and a control unit, which includes an elevating mechanism, for performing control operations to alter a distance between the holding table and the dielectric plate to a first distance, to drive the plasma igniting unit, to alter the distance between the holding table and the dielectric plate to a second distance different from the first distance, and to carry out the plasma process on the semiconductor substrate.
    Type: Application
    Filed: February 25, 2009
    Publication date: August 27, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Matsumoto, Jun Yoshikawa, Tetsuya Nishizuka, Masaru Sasaki
  • Publication number: 20090023296
    Abstract: This invention relates to a method for conducting an etching process which uses a plasma of a process gas. This etching process is conducted on a wafer W including a substrate 101, an underlying film 102, 103 formed on the substrate, and a film 104 to be etched that is formed on the underlying film. A main etching gas formed up of a chlorine-containing gas and an oxygen-containing gas, and a nitrogen-containing gas are used as the process gas. In this etching method, etching is conducted under a condition that an N2+/N2 intensity ratio of N2+ to N2, derived from emission spectra of the plasma, is at least 0.6.
    Type: Application
    Filed: March 13, 2007
    Publication date: January 22, 2009
    Inventor: Tetsuya Nishizuka
  • Patent number: 7465406
    Abstract: In certain implementations, methods and apparatus include an antenna assembly having at least two overlapping and movable surface microwave plasma antennas. The antennas have respective pluralities of microwave transmissive openings formed therethrough. At least some of the openings of the respective antennas overlap with at least some of the openings of another antenna, and form an effective plurality of microwave transmissive openings through the antenna assembly. Microwave energy is passed through the effective plurality of openings of the antenna assembly and to a flowing gas effective to form a surface microwave plasma onto a substrate received within the processing chamber. At least one of the antennas is moved relative to another of the antennas to change at least one of size and shape of the effective plurality of openings through the antenna assembly effective to modify microwave energy passed through the antenna assembly to the substrate.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: December 16, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Guy T. Blalock, Trung Tri Doan
  • Patent number: 7456109
    Abstract: A cleaning method of a substrate processor that reduces damage to a member in a substrate processing container. The method of cleaning the substrate processing container of the substrate processor that processes a target substrate according to the present invention includes: introducing gas into a remote plasma generating unit of the substrate processor; exciting the gas by the remote plasma generating unit, and generating reactive species; and supplying the reactive species to the processing container from the remote plasma generating unit, and pressurizing the processing container at 1333 Pa or greater.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: November 25, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Hideaki Yamasaki, Kazuhito Nakamura, Koumei Matsuzawa, Tsukasa Matsuda, Yumiko Kawano
  • Publication number: 20080138996
    Abstract: The present invention is an etching method for performing an etching process in the presence of a plasma on an object to be processed in which a layer to be etched made of a tungsten-containing material is formed on a base layer made of a silicon-containing material in a process vessel capable of being evacuated to create therein a vacuum, wherein a chlorine-containing gas, an oxygen-containing gas, and a nitrogen-containing gas are used as an etching gas for performing the etching process.
    Type: Application
    Filed: November 18, 2005
    Publication date: June 12, 2008
    Inventor: Tetsuya Nishizuka
  • Publication number: 20080038930
    Abstract: Example embodiments relate to a method and an apparatus of ashing an object. The method may include converting a first reaction fluid into plasma, reacting the plasma with a second reaction fluid to generate radicals, and ashing the object using the radicals and the plasma.
    Type: Application
    Filed: June 11, 2007
    Publication date: February 14, 2008
    Inventors: Jae-Kyung Park, Won-Soon Lee, Young-Kyou Park, No-Hyun Huh, Yong-Ho Park
  • Patent number: 7329609
    Abstract: In a substrate processing apparatus, a control electrode (131) separates a process space (11C) including a substrate to be processed and a plasma formation space (11B) not including the substrate. The control electrode includes a conductive member formed in a processing vessel and having a plurality of apertures (131a) for passing plasma. A surface of the control electrode is covered by an aluminum oxide or a conductive nitride. In the substrate processing apparatus, a gas containing He and N2 is supplied into the processing vessel. In the plasma formation space, there is formed plasma under a condition in which atomic state nitrogen N* are excited. The atomic state nitrogen N* are used to nitride a surface of the substrate.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: February 12, 2008
    Assignees: Tadahiro Ohmi, Tokyo Electron Limited
    Inventors: Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama
  • Patent number: 7312157
    Abstract: Methods and apparatus for cleaning a semiconductor device are disclosed. A disclosed method comprises forming a capping layer on top of a substrate including a bottom interconnect layer; depositing and patterning an insulating layer on the capping layer to form a damascene structure; etching a portion of the capping layer exposed by the damascene structure; and (d) removing polymers and copper impurities due to the etching by using a HF vapor gas.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: December 25, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joon Bum Shim
  • Patent number: 7288488
    Abstract: A two-step process is disclosed for stripping photoresist material from a substrate, wherein the substrate includes a low k dielectric material underlying the photoresist material and a polymer film overlying both the photoresist material and the low k dielectric material. The first step of the two-step process uses an oxygen plasma to remove the polymer film. The second step of the two-step process uses an ammonia plasma to remove the photoresist material, wherein the second step commences after completion of the first step. Each step of the two-step photoresist stripping process is respectively defined by particular values for process parameters including chemistry, temperature, pressure, gas flow rate, radio frequency power and frequency, and duration.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: October 30, 2007
    Assignee: Lam Research Corporation
    Inventors: Helen Zhu, Reza Sadjadi
  • Publication number: 20070238307
    Abstract: A processing apparatus includes an openable/closable lid disposed on a process container, and an opening/closing mechanism configured to open/close the lid. The opening/closing mechanism includes a hinge structure swingably coupling the lid to one end of the process container, and a drive structure configured to swing the lid. The hinge structure includes a main shaft used as a rotation axis when the lid is swung by the drive structure, and an adjusting shaft located on a distal end side relative to the main shaft, for adjusting an angle of the lid.
    Type: Application
    Filed: March 12, 2007
    Publication date: October 11, 2007
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Jun Yamashita