Layers Formed Of Diverse Composition Or By Diverse Coating Processes Patents (Class 438/763)
  • Publication number: 20140117511
    Abstract: A passivation layer and a method of making a passivation layer are disclosed. In one embodiment the method for manufacturing a passivation layer includes depositing a first silicon based dielectric layer on a workpiece, the first silicon based dielectric layer comprising nitrogen, and depositing in-situ a second silicon based dielectric layer on the first silicon based dielectric layer, the second dielectric layer comprising oxygen.
    Type: Application
    Filed: October 30, 2012
    Publication date: May 1, 2014
    Applicant: Infineon Technologies AG
    Inventors: Kurt Matoy, Hubert Maier, Christian Krenn, Elfriede Kraxner Wellenzohn, Helmut Schoenherr, Juergen Steinbrenner, Markus Kahn, Fister Schlemitz Silvana, Christoph Brunner, Herbert Gietler, Uwe Hoeckele
  • Patent number: 8710618
    Abstract: An integrated circuit (IC) package with a fibrous interface is provided. The package includes a substrate, a bond coat and a top coat. The substrate is configured to contain IC components and connections. The bond coat layer is configured to encapsulate the IC components. The top coat layer has at least a portion embedded in the bond coat layer. Moreover, the top coat layer includes a fibrous interface configured to provide security and strengthen the bond coat layer.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: April 29, 2014
    Assignee: Honeywell International Inc.
    Inventors: Kenneth H. Heffner, William J. Dalzell, Kara L. Warrensford
  • Patent number: 8710578
    Abstract: Embodiments of a non-planar memory device including a split charge-trapping region and methods of forming the same are described. Generally, the device comprises: a channel formed from a thin film of semiconducting material overlying a surface on a substrate connecting a source and a drain of the memory device; a tunnel oxide overlying the channel; a split charge-trapping region overlying the tunnel oxide, the split charge-trapping region including a bottom charge-trapping layer comprising a nitride closer to the tunnel oxide, and a top charge-trapping layer, wherein the bottom charge-trapping layer is separated from the top charge-trapping layer by a thin anti-tunneling layer comprising an oxide. Other embodiments are also disclosed.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: April 29, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Fredrick Jenne, Krishnaswamy Ramkumar
  • Patent number: 8710579
    Abstract: A semiconductor device and method of manufacturing the same are provided. In one embodiment, semiconductor device comprises a split charge-trapping region comprising two nitride layers with charge traps distributed therein, the two nitride layers separated by one or more oxide layers. The two nitride layers include a first nitride layer closer to a substrate over which the split charge-trapping region is formed, and a second nitride layer on the other side of the one or more oxide layers. The second nitride layer comprises a majority of the charge traps. Other embodiments are also described.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: April 29, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Fredrick Jenne, Krishnaswamy Ramkumar
  • Patent number: 8703623
    Abstract: A semiconductor arrangement is provided that includes one or more substrate structures. One or more nitride-based material structures are used in fabricating nitride-based devices. One or more intermediary layers are interposed between the one or more substrate structures and the one or more nitride-based material structures. The one or more intermediary layers support the lattice mismatch and thermal expansion coefficients between the one or more nitride-based material structure and the one or more substrate structures. Several new electronic devices based on this arrangement are described.
    Type: Grant
    Filed: June 1, 2009
    Date of Patent: April 22, 2014
    Assignee: Massachusetts Institute of Technology
    Inventors: Jinwook Chung, Han Wang, Tomas Palacios
  • Patent number: 8697561
    Abstract: A finFET structure includes a semiconductor fin located over a substrate. A gate electrode is located traversing the semiconductor fin. The gate electrode has a spacer layer located adjoining a sidewall thereof. The spacer layer does not cover completely a sidewall of the semiconductor fin. The gate electrode and the spacer layer may be formed using a vapor deposition method that provides for selective deposition upon a sidewall of a mandrel layer but not upon an adjoining surface of the substrate, so that the spacer layer does not cover completely the sidewall of the semiconductor fin. Other microelectronic structures may be fabricated using the lateral growth methodology.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: April 15, 2014
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Patent number: 8691706
    Abstract: System and method for reducing substrate warpage in a thermal process. An embodiment comprises pre-heating a substrate in a loadlock chamber before performing the thermal process of the substrate. After the thermal process, the substrate is cooled down in a loadlock chamber. The pre-heat and cool-down process reduces the warpage of the substrate caused by the differences in coefficients of thermal expansion (CTEs) of the materials that make up the substrate.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: April 8, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Wen-Chih Chiou, Fang Wen Tsai, Kuang-Wei Cheng, Jiann Sheng Chang, Yi Chou Lai, Jiung Wu
  • Patent number: 8685778
    Abstract: A method of forming at least one Micro-Electro-Mechanical System (MEMS) cavity includes forming a first sacrificial cavity layer over a lower wiring layer. The method further includes forming a layer. The method further includes forming a second sacrificial cavity layer over the first sacrificial layer and in contact with the layer. The method further includes forming a lid on the second sacrificial cavity layer. The method further includes forming at least one vent hole in the lid, exposing a portion of the second sacrificial cavity layer. The method further includes venting or stripping the second sacrificial cavity layer such that a top surface of the second sacrificial cavity layer is no longer touching a bottom surface of the lid, before venting or stripping the first sacrificial cavity layer thereby forming a first cavity and second cavity, respectively.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: April 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Christopher V. Jahnes, Anthony K. Stamper
  • Publication number: 20140084389
    Abstract: Provided are a semiconductor device manufacturing method by which a semiconductor device in which a threshold voltage is suppressed from changing can be manufactured, a substrate processing method and apparatus, a non-transitory computer-readable recording medium, and the semiconductor device. The semiconductor device manufacturing method includes forming an amorphous first oxide film including a first element on a substrate, and modifying the first oxide film to an amorphous second oxide film including the first element and a second element different from the first element by adding the second element to the first oxide film. The first element includes at least one element selected from a group consisting of aluminum, yttrium and lanthanum. A concentration of the second element in the second oxide film is controlled to be lower than that of the first element in the second oxide film.
    Type: Application
    Filed: September 25, 2013
    Publication date: March 27, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventor: Arito Ogawa
  • Publication number: 20140080316
    Abstract: A method of fabricating a semiconductor device includes contacting water with a silicon oxide layer. The method further includes diffusing an ozone-containing gas through water to treat the silicon oxide layer. The method further includes forming a dielectric layer over the treated silicon oxide layer.
    Type: Application
    Filed: November 15, 2013
    Publication date: March 20, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Liang-Gi YAO, Chia-Cheng CHEN, Clement Hsingjen WANN
  • Publication number: 20140077227
    Abstract: Illustrative embodiments of semiconductor devices including a polar insulation layer capped by a non-polar insulation layer, and methods of fabrication of such semiconductor devices, are disclosed. In at least one illustrative embodiment, a semiconductor device may comprise a semiconductor substrate, a polar insulation layer disposed on the semiconductor substrate and comprising a Group V element configured to increase a carrier mobility in at least a portion of the semiconductor substrate, and a non-polar insulation layer disposed above the polar insulation layer.
    Type: Application
    Filed: September 16, 2013
    Publication date: March 20, 2014
    Inventors: John R. Williams, Ayayi C. Ahyi, Tamara F. Isaacs-Smith, Yogesh K. Sharma, Leonard C. Feldman
  • Publication number: 20140080315
    Abstract: A method of forming a laminated film includes forming a silicon oxide film on a plurality of target objects loaded in a reaction chamber, and forming a silicon oxynitride film on the plurality of target objects by supplying a silicon source, an oxidizing agent and a nitride agent to the reaction chamber, wherein forming the silicon oxide film and forming the silicon oxynitride film are repeatedly performed for a predetermined number of times on the plurality of target objects to form a laminated film including the silicon oxynitride film and the silicon oxide film.
    Type: Application
    Filed: September 17, 2013
    Publication date: March 20, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tomoyuki OBU, Masaki KUROKAWA, Hiroki IRIUDA
  • Patent number: 8673791
    Abstract: A shadow masking device for use in the semiconductor industry includes self-aligning mechanical components that permit shadow masks to be exchanged while maintaining precise alignment with the target substrate. The misregistration between any two of the various layers in the formed structure can be kept to less than 40 microns.
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: March 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: David J. Altknecht, Robert E. Erickson, Christopher O. Lada, Stuart Stephen Papworth Parkin, Mahesh Samant
  • Publication number: 20140065840
    Abstract: To form an insulating film with extremely low concentration of impurities such as carbon, hydrogen, nitrogen, chlorine, etc in a film. There are provided the steps of forming a specific element-containing layer on a substrate by supplying source gas containing a specific element into a processing container in which the substrate is accommodated; changing the specific element-containing layer into a nitride layer, by activating and supplying gas containing nitrogen into the processing container; and changing the nitride layer into an oxide layer or an oxynitride layer, by activating and supplying gas containing oxygen into the processing container; with this cycle set as one cycle and performed for at least one or more times.
    Type: Application
    Filed: November 7, 2013
    Publication date: March 6, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Naonori AKAE, Yoshiro HIROSE
  • Publication number: 20140057454
    Abstract: High-deposition rate methods for forming transparent ashable hardmasks (AHMs) that have high plasma etch selectivity to underlying layers are provided. The methods involve placing a wafer on a powered electrode such as a powered pedestal for plasma-enhanced deposition. According to various embodiments, the deposition is run at low hydrocarbon precursor partial pressures and/or low process temperatures. Also provided are ceramic wafer pedestals with multiple electrode planes embedded with the pedestal are provided. According to various embodiments, the pedestals have multiple RF mesh electrode planes that are connected together such that all the electrode planes are at the same potential.
    Type: Application
    Filed: August 23, 2013
    Publication date: February 27, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Pramod Subramonium, Aaron Bingham, Tim Thomas, Jon Henri, Greg Farhner
  • Patent number: 8658490
    Abstract: Generally, the present disclosure is directed to techniques for improving the reliability of semiconductor devices with high-k gate dielectric layers by passivating point defects during the gate stack formation. One illustrative method disclosed herein includes performing a plurality of material deposition cycles to form a high-k dielectric layer above a semiconductor material layer, and introducing a passivating material into a gaseous precursor that is used for forming the high-k dielectric layer during at least one of the plurality of material deposition cycles.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: February 25, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Elke Erben, Martin Trentzsch, Richard J. Carter
  • Patent number: 8658501
    Abstract: In one embodiment, the invention is a method and apparatus for flatband voltage tuning of high-k field effect transistors. One embodiment of a field effect transistor includes a substrate, a high-k dielectric layer deposited on the substrate, a gate electrode deposited on the high-k dielectric layer, and a dipole layer positioned between the substrate and the gate electrode, for shifting the threshold voltage of the field effect transistor.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Supratik Guha, Vijay Narayanan, Vamsi K. Paruchuri
  • Publication number: 20140048912
    Abstract: The present disclosure provides manufacturing techniques and semiconductor devices in which performance of P-channel transistors may be enhanced on the basis of a stress mechanism that involves the deposition of a dielectric bi-layer system. Contrary to conventional strategies, an additional pre-treatment may be performed prior to the deposition of an adhesion layer in a plasma-free process atmosphere, thereby enabling a reduced thickness of the adhesion layer and a higher internal stress level of the subsequent top layer.
    Type: Application
    Filed: August 17, 2012
    Publication date: February 20, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Joerg Hohage, Hartmut Ruelke, Ralf Richter
  • Patent number: 8652973
    Abstract: A processing method for forming a structure including an amorphous carbon film includes performing a preliminary treatment of removing water from a surface of the underlying layer by heating the inside of the reaction chamber at a preliminary treatment temperature of 800 to 950° C. and supplying a preliminary treatment gas selected from the group consisting of nitrogen gas and ammonia gas into the reaction chamber while exhausting gas from inside the reaction chamber; and, then performing main CVD of forming an amorphous carbon film on the underlying layer by heating the inside of the reaction chamber at a main process temperature and supplying a hydrocarbon gas into the reaction chamber while exhausting gas from inside the reaction chamber.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: February 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Okada, Yukio Tojo
  • Publication number: 20140042597
    Abstract: A semiconductor device includes a main body having a single crystalline semiconductor body. A layered structure directly adjoins a central portion of a main surface of the main body and includes a hard dielectric layer provided from a first dielectric material with Young's modulus greater than 10 GPa. A stress relief layer directly adjoins the layered structure opposite to the main body and extends beyond an outer edge of the layered structure. Providing the layered structure at a distance to the edge of the main body and covering the outer surface of the layered structures with the stress relief layer enhances device reliability.
    Type: Application
    Filed: August 10, 2012
    Publication date: February 13, 2014
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Peter Nelle, Uwe Schmalzbauer, Juergen Holzmueller, Markus Zundel
  • Publication number: 20140042546
    Abstract: A limited number of cycles of atomic layer deposition (ALD) of Hi-K material followed by deposition of an interlayer dielectric and application of further Hi-K material and optional but preferred annealing provides increased Hi-K material content and increased breakdown voltage for input/output (I/O) transistors compared with logic transistors formed on the same chip or wafer while providing scalability of the inversion layer of the I/O and logic transistors without significantly compromising performance or bias temperature instability (BTI) parameters.
    Type: Application
    Filed: August 13, 2012
    Publication date: February 13, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Takashi Ando, Min Dai, Martin M. Frank, Barry P. Linder, Shahab Siddiqui
  • Publication number: 20140045341
    Abstract: According to one embodiment, a pattern forming method includes forming a physical guide, in which at least an upper part of a side wall surface of a concave section is an inclined surface, on a film to be processed, forming a polymer layer containing at least two kinds of segments inside the concave section of the physical guide, microphase-separating the polymer layer, to form self-assembled polymer domains including a first polymer section and a second polymer section, and processing the film to be processed by use of the self-assembled polymer domains.
    Type: Application
    Filed: February 8, 2013
    Publication date: February 13, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hiroki YONEMITSU
  • Patent number: 8647992
    Abstract: Methods of forming silicon oxide layers are described. The methods include mixing a carbon-free silicon-containing precursor with a radical-nitrogen precursor, and depositing a silicon-and-nitrogen-containing layer on a substrate. The radical-nitrogen precursor is formed in a plasma by flowing a hydrogen-and-nitrogen-containing precursor into the plasma. Prior to depositing the silicon-and-nitrogen-containing layer, a silicon oxide liner layer is formed to improve adhesion, smoothness and flowability of the silicon-and-nitrogen-containing layer. The silicon-and-nitrogen-containing layer may be converted to a silicon-and-oxygen-containing layer by curing and annealing the film. Methods also include forming a silicon oxide liner layer before applying a spin-on silicon-containing material.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: February 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Jingmei Liang, Nitin K. Ingle
  • Publication number: 20140038426
    Abstract: A method for reducing defects in an active device area of a semiconductor device during fabrication is disclosed. In one aspect, the method comprises providing the active device area adjacent an isolation structure, wherein a substantially planar surface is formed over the isolation structure and the active device area, forming a patterned stress-inducing layer over the substantially planar surface, forming at least one screening layer between the patterned stress-inducing layer and the substantially planar surface, where the screening layer is configured to screen part of the stress field induced by the patterned stress-inducing layer, performing an anneal process after forming the patterned stress-inducing layer on the substantially planar surface, so as to induce a movement of the defects towards a contact interface between the active device area and the isolation structure, and removing the patterned stress-inducing layer from the substantially planar surface.
    Type: Application
    Filed: July 31, 2013
    Publication date: February 6, 2014
    Applicants: Globalfoundries Inc., IMEC
    Inventors: David Brunco, Geert Eneman
  • Patent number: 8643079
    Abstract: Nanocrystal structures formed using atomic layer deposition (ALD) processes are useful in the formation of integrated circuits such as memory devices. Rather than continuing the ALD process until a continuous layer is formed, the ALD process is halted prematurely to leave a discontinuous formation of nanocrystals which are then capped by a different material, thus forming a layer with a discontinuous portion and a bulk portion. Such nanocrystals can serve as charge-storage sites within the bulk portion, and the resulting structure can serve as a floating gate of a floating-gate memory cell. A floating gate may contain one or more layers of such nanocrystal structures.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: February 4, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Prashant Majhi, Kyu S. Min, Wilman Tsai
  • Patent number: 8643151
    Abstract: An embodiment of the disclosure provides a semiconductor device. The semiconductor device includes a plurality of metallization layers comprising a topmost metallization layer. The topmost metallization layer has two metal features having a thickness T1 and being separated by a gap. A composite passivation layer comprises a HDP CVD oxide layer under a nitride layer. The composite passivation layer is disposed over the metal features and partially fills the gap. The composite passivation layer has a thickness T2 about 20% to 50% of the thickness T1.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: February 4, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jen-Hao Liu, Chyi-Tsong Ni, Hsiao-Yin Lin, Chung-Min Lin
  • Patent number: 8637411
    Abstract: Methods of depositing a film on a substrate surface include surface mediated reactions in which a film is grown over one or more cycles of reactant adsorption and reaction. In one aspect, the method is characterized by intermittent delivery of dopant species to the film between the cycles of adsorption and reaction.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: January 28, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Shankar Swaminathan, Jon Henri, Dennis M. Hausmann, Pramod Subramonium, Mandyam Sriram, Vishwanathan Rangarajan, Kirthi K. Kattige, Bart J. van Schravendijk, Andrew J. McKerrow
  • Publication number: 20140024224
    Abstract: A method of manufacturing a semiconductor integrated circuit device which includes a semiconductor substrate; and multiple semiconductor elements disposed on the semiconductor substrate. The semiconductor elements include an n-channel MOS transistor and a p-channel MOS transistor. The n-channel MOS transistor is covered by a tensile stress film, and the p-channel MOS transistor is covered by a compressive stress film. A dummy region, the entire surface of which is covered by a combination of the tensile stress film and the compressive stress film, is disposed on the surface of the semiconductor substrate.
    Type: Application
    Filed: September 20, 2013
    Publication date: January 23, 2014
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Satoshi Nakai, Masato Suga, Jusuke Ogura
  • Patent number: 8633573
    Abstract: Various applications are directed to a material stack having a strained active material therein. In connection with an embodiment, an active material (e.g. a semiconductor material) is at least initially and partially released from and suspended over a substrate, strained, and held in place. The release and suspension facilitates the application of strain to the semiconductor material.
    Type: Grant
    Filed: February 16, 2010
    Date of Patent: January 21, 2014
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventors: Jinendra Raja Jain, Roger T. Howe
  • Patent number: 8633118
    Abstract: Methods for forming thin metal and semi-metal layers by thermal remote oxygen scavenging are described. In one embodiment, the method includes forming an oxide layer containing a metal or a semi-metal on a substrate, where the semi-metal excludes silicon, forming a diffusion layer on the oxide layer, forming an oxygen scavenging layer on the diffusion layer, and performing an anneal that reduces the oxide layer to a corresponding metal or semi-metal layer by oxygen diffusion from the oxide layer to the oxygen scavenging layer.
    Type: Grant
    Filed: February 1, 2012
    Date of Patent: January 21, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Robert D Clark
  • Publication number: 20140015143
    Abstract: Electrical contacts may be formed by forming dielectric liners along sidewalls of a dielectric structure, forming sacrificial liners over and transverse to the dielectric liners along sidewalls of a sacrificial structure, selectively removing portions of the dielectric liners at intersections of the dielectric liners and sacrificial liners to form pores, and at least partially filling the pores with a conductive material. Nano-scale pores may be formed by similar methods. Bottom electrodes may be formed and electrical contacts may be structurally and electrically coupled to the bottom electrodes to form memory devices. Nano-scale electrical contacts may have a rectangular cross-section of a first width and a second width, each width less than about 20 nm. Memory devices may include bottom electrodes, electrical contacts having a cross-sectional area less than about 150 nm2 over and electrically coupled to the bottom electrodes, and a cell material over the electrical contacts.
    Type: Application
    Filed: July 12, 2012
    Publication date: January 16, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Jun Liu, Kunal R. Parekh
  • Patent number: 8629055
    Abstract: A coating solution of SOG is applied on a silicon oxynitride film (11) and precured. As a result, moisture contained in the coating solution volatilizes, and an SOG film (12) is formed. Next, a coating solution of SOG is applied on the SOG film (12) and precured. As a result, an SOG film (13) is formed. Thereafter, a coating solution of SOG is applied on the SOG film (13) and precured. As a result, an SOG film (14) is formed. Subsequently, a main cure of the SOG films (12, 13, and 14) is performed. The viscosity of the coating solution of SOG used for forming the SOG film (12) is lower than those of the coating solutions of SOG used for forming the SOG films (13 and 14).
    Type: Grant
    Filed: September 23, 2009
    Date of Patent: January 14, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Tsukasa Sato, Kouichi Nagai
  • Publication number: 20140011368
    Abstract: According to an embodiment of present disclosure, a method of forming a carbon film on a substrate to be processed is provided. The method includes loading a substrate to be processed with a carbon film formed thereon into a processing chamber of a film forming apparatus (Process 1), and thermally decomposing a hydrocarbon-based carbon source gas in the processing chamber to form a carbon film on the substrate to be processed (Process 2). In Process 2, a film forming temperature of the carbon film is set to a temperature less than a thermal decomposition temperature of a simple substance of the hydrocarbon-based carbon source gas without plasma assistance, the hydrocarbon-based carbon source gas and a thermal decomposition temperature drop gas containing a halogen element are introduced into the processing chamber, and a non-plasma thermal CVD method is performed.
    Type: Application
    Filed: July 9, 2013
    Publication date: January 9, 2014
    Inventors: Tomoyuki OBU, Satoshi MIZUNAGA, Takehiro OTSUKA
  • Patent number: 8623750
    Abstract: A film of silicon dioxide is formed on the silicon-germanium layer, and a high dielectric constant film is further formed on the film of silicon dioxide. First irradiation from a flash lamp is performed on the semiconductor wafer to increase the temperature of a front surface of the semiconductor wafer from a preheating temperature to a target temperature for a time period in the range of 3 milliseconds to 1 second. Subsequently, second irradiation from the flash lamp is performed to maintain the temperature of the front surface of the semiconductor wafer within a ±25° C. range around the target temperature for a time period in the range of 3 milliseconds to 1 second. This promotes the crystallization of the high dielectric constant film while suppressing the alleviation of distortion in the silicon-germanium layer.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: January 7, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kazuhiko Fuse, Shinichi Kato
  • Publication number: 20140001607
    Abstract: An integrated circuit includes a substrate and passivation layers. The passivation layers include a bottom dielectric layer formed over the substrate for passivation, a doped dielectric layer formed over the bottom dielectric layer for passivation, and a top dielectric layer formed over the doped dielectric layer for passivation.
    Type: Application
    Filed: June 29, 2012
    Publication date: January 2, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Cheng-Chi CHUANG, Kun-Ming HUANG, Hsuan-Hui HUNG, Ming-Yi LIN
  • Patent number: 8617989
    Abstract: Methods of forming a dielectric liner layer on a semiconductor substrate are described. The method may include flowing a phosphorus-containing precursor with a silicon-containing precursor and an oxygen-containing precursor over the substrate to deposit a dielectric material. The dielectric material may be deposited along a field region and within at least one via on the substrate having a depth of at least 1 ?m. The method may also include forming a liner layer within the via with the dielectric material. The liner may include a silicon oxide doped with phosphorus, and the thickness of the liner layer at an upper portion of the via sidewall may be less than about 5 times the thickness of the liner layer at a lower portion of the via sidewall.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kedar Sapre, Manuel Hernandez, Lei Luo
  • Patent number: 8618002
    Abstract: The present invention provides a pattern formation method capable of preventing formation of surface defects. In the method, a resist surface after subjected to exposure is coated with an acidic film and then subjected to heating treatment. This method is suitably adopted in a process employing liquid immersion lithography and/or light of short wavelength, such as ArF excimer laser beams, for producing a very fine pattern.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: December 31, 2013
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Wenbing Kang, Xiaowei Wang, Yuriko Matsuura
  • Patent number: 8618003
    Abstract: Electronic devices can be prepared by forming a patterned thin film on a suitable receiver substrate. A cyanoacrylate polymer is used as a deposition inhibitor material and applied first as a deposition inhibitor material. The deposition inhibitor material can be patterned to provide selected areas on the receiver substrate where the deposition inhibitor is absent. An inorganic thin film is then deposited on the receiver substrate using a chemical vapor deposition technique only in those areas where the deposition inhibitor material is absent. The cyanoacrylate polymer deposition inhibitor material can be applied by thermal transfer from a donor element to a receiver substrate before a patterned thin film is formed.
    Type: Grant
    Filed: December 5, 2011
    Date of Patent: December 31, 2013
    Assignee: Eastman Kodak Company
    Inventors: Mitchell S. Burberry, David H. Levy
  • Publication number: 20130337656
    Abstract: A CMOS device having an NMOS transistor with a metal gate electrode comprising a mid-gap metal with a low work function/high oxygen affinity cap and a PMOS transistor with a metal gate electrode comprising a mid gap metal with a high work function/low oxygen affinity cap and method of forming.
    Type: Application
    Filed: August 15, 2013
    Publication date: December 19, 2013
    Applicant: Texas Instruments Incorporated
    Inventors: James Joseph Chambers, Hiroaki Niimi
  • Publication number: 20130328137
    Abstract: A semiconductor fabrication method includes forming a gate dielectric stack on a semiconductor substrate and annealing the gate dielectric stack. Forming the stack may include depositing a first layer of a metal-oxide dielectric on the substrate, forming a refractory metal silicon nitride on the first layer, and depositing a second layer of the metal-oxide dielectric on the refractory metal silicon nitride. Depositing the first layer may include depositing a metal-oxide dielectric, such as HfO2, using atomic layer deposition. Forming the refractory metal silicon nitride film may include forming a film of tantalum silicon nitride using a physical vapor deposition process. Annealing the gate dielectric stack may include annealing the gate dielectric stack in an oxygen-bearing ambient at approximately 750 C for 10 minutes or less. In one embodiment, annealing the dielectric stack includes annealing the dielectric stack for approximately 60 seconds at a temperature of approximately 500 C.
    Type: Application
    Filed: June 11, 2012
    Publication date: December 12, 2013
    Applicant: Freescale Semiconductor, Inc.
    Inventor: Rama I. Hegde
  • Patent number: 8603919
    Abstract: A semiconductor device fabricating method includes forming an etch target layer and a first hard mask layer over a substrate, forming a second hard mask pattern having lines over the first hard mask layer, forming a third hard mask layer over the second hard mask pattern, forming a sacrificial pattern over the third hard mask layer, forming a cell spacer on sidewalls of the sacrificial pattern, removing the sacrificial pattern, etching the third hard mask layer using the cell spacer as an etch barrier, etching the first hard mask layer using the third hard mask pattern and the second hard mask pattern as etch barriers, forming an elliptical opening having an axis pointing in a second direction by etching the etch target layer, and forming a silicon layer that fills the elliptical opening.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: December 10, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jung-Dae Han
  • Patent number: 8603877
    Abstract: Some embodiments include dielectric structures. The structures include first and second portions that are directly against one another. The first portion may contain a homogeneous mixture of a first phase and a second phase. The first phase may have a dielectric constant of greater than or equal to 25, and the second phase may have a dielectric constant of less than or equal to 20. The second portion may be entirely a single composition having a dielectric constant of greater than or equal to 25. Some embodiments include electrical components, such as capacitors and transistors, containing dielectric structures of the type described above. Some embodiments include methods of forming dielectric structures, and some embodiments include methods of forming electrical components.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: December 10, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Noel Rocklein, Chris Carlson, Dave Peterson, Cunyu Yang, Praveen Vaidyanathan, Vishwanath Bhat
  • Patent number: 8603924
    Abstract: A method of forming gate dielectric material includes forming a silicon oxide gate layer over a substrate. The silicon oxide gate layer is treated with a first ozone-containing gas. After treating the silicon oxide gate layer, a high dielectric constant (high-k) gate dielectric layer is formed over the treated silicon oxide gate layer.
    Type: Grant
    Filed: January 11, 2011
    Date of Patent: December 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Liang-Gi Yao, Chia-Cheng Chen, Clement Hsingjen Wann
  • Publication number: 20130320510
    Abstract: An article having a surface treated to provide a protective coating structure in accordance with the following method: vapor depositing a first layer on a substrate, wherein said first layer is a metal oxide adhesion layer selected from the group consisting of an oxide of a Group IIIA metal element, a Group IVB metal element, a Group VB metal element, and combinations thereof; vapor depositing a second layer upon said first layer, wherein said second layer includes a silicon-containing layer selected from the group consisting of silicon oxide, silicon nitride, and silicon oxynitride; and vapor depositing a third layer upon said second layer, wherein said third layer is a functional organic-comprising layer, wherein said functional organic-comprising layer is a SAM.
    Type: Application
    Filed: August 5, 2013
    Publication date: December 5, 2013
    Applicant: Applied Microstructures, Inc.
    Inventors: Boris Kobrin, Nikunj Dangaria, Romuald Nowak, Michael T. Grimes
  • Publication number: 20130320508
    Abstract: A method of manufacturing a semiconductor device includes: forming a first electrode on a first semiconductor substrate; coating the semiconductor substrate with an insulating material having a first viscosity at a first temperature, having a second viscosity lower than the first viscosity at a second temperature higher than the first temperature, and having a third viscosity higher than the second viscosity at a third temperature higher than the second temperature; and forming a first insulating film by curing the insulating material. In this method, the forming the first insulating film includes: bringing the insulating material to the second viscosity by heating the insulating material under a first condition; and bringing the insulating material to the third viscosity by heating the insulating material under a second condition. The first condition and the second condition are different in their temperature rising rate.
    Type: Application
    Filed: March 8, 2013
    Publication date: December 5, 2013
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Tamotsu Owada, Hikaru Ohira, Hirosato Ochimizu
  • Publication number: 20130320509
    Abstract: A moisture barrier coating for protecting a substrate from moisture, comprises an inorganic layer disposed over the substrate, the inorganic layer comprising an oxide or nitride of an element selected from the group consisting of silicon, aluminum, titanium, zirconium, hafnium and combinations thereof; and an organic silicon-containing layer disposed over the inorganic layer.
    Type: Application
    Filed: August 5, 2013
    Publication date: December 5, 2013
    Applicant: Applied Microstructures, Inc.
    Inventors: Boris Kobrin, Nikunj Hirji Dangaria, Romuald Nowak, Michael T. Grimes
  • Patent number: 8598044
    Abstract: An intermediate film 222 in a three-layered resist film 225 is formed by the chemical vapor deposition process at a temperature not higher than 300° C., using Si(OR1)(OR2)(OR3)(OR4), where each of R1, R2, R3 and R4 independently represents a carbon-containing group or a hydrogen atom, excluding the case where all of R1 to R4 are hydrogen atoms.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: December 3, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Tatsuya Usami, Sadayuki Ohnishi, Masayuki Hiroi, Akira Matsumoto
  • Publication number: 20130313656
    Abstract: Provided is a two-step ALD deposition process for forming a gate dielectric involving an erbium oxide layer deposition followed by a hafnium oxide layer deposition. Hafnium oxide can provide a high dielectric constant, high density, large bandgap and good thermal stability. Erbium oxide can act as a barrier against oxygen diffusion, which can lead to increasing an effective oxide thickness of the gate dielectric and preventing hafnium-silicon reactions that may lead to higher leakage current.
    Type: Application
    Filed: May 24, 2012
    Publication date: November 28, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Jinhong Tong
  • Patent number: 8592324
    Abstract: A method for forming a laminated structure including an amorphous carbon film on an underlying layer includes forming an initial layer containing Si—C bonds on a surface of the underlying layer, by supplying an organic silicon gas onto the underlying layer; and forming the amorphous carbon film by thermal film formation on the underlying layer with the initial layer formed on the surface thereof, by supplying a film formation gas containing a hydrocarbon compound gas onto the underlying layer.
    Type: Grant
    Filed: February 2, 2011
    Date of Patent: November 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Okada, Yukio Tojo
  • Publication number: 20130307126
    Abstract: A semiconductor structure includes a stacked metal oxide layer on a substrate, wherein the stacked metal oxide layer includes a first metal oxide layer, a second metal oxide layer, and a third metal oxide layer from top to bottom, and the energy bandgap of the second metal oxide layer is lower than the energy bandgap of the first metal oxide layer and that of the third metal oxide layer. The semiconductor structure includes a metal oxide layer on a substrate, wherein the energy bandgap of the metal oxide layer changes along a direction perpendicular to the surface of the substrate. The present invention also provides a semiconductor process forming said semiconductor structure.
    Type: Application
    Filed: May 18, 2012
    Publication date: November 21, 2013
    Inventors: Chen-Kuo Chiang, Chun-Hsien Lin