Using Electromagnetic Or Wave Energy (e.g., Photo-induced Deposition, Plasma, Etc.) Patents (Class 438/788)
  • Patent number: 11943994
    Abstract: A display device and a method of manufacturing the same are provided. The display device, comprises a first base substrate, a first barrier layer disposed on the first base substrate, a second base substrate disposed on the first barrier layer, at least one transistor disposed on the second base substrate, and an organic light emitting diode disposed on the at least one transistor, wherein the first barrier layer includes a silicon oxide, and has an adhesion force of 200 gf/inch or more to the second base substrate.
    Type: Grant
    Filed: August 17, 2021
    Date of Patent: March 26, 2024
    Assignee: Samsung Display Co., Ltd.
    Inventors: Chul Min Bae, Eun Jin Kwak, Jin Suk Lee, Jung Yun Jo, Ji Hye Han, Young In Hwang
  • Patent number: 11749563
    Abstract: The present disclosure describes a method for forming a silicon-based, carbon-rich, low-k ILD layer with a carbon concentration between about 15 atomic % and about 20 atomic %. For example, the method includes depositing a dielectric layer, over a substrate, with a dielectric material having a dielectric constant below 3.9 and a carbon atomic concentration between about 15% and about 20%; exposing the dielectric layer to a thermal process configured to outgas the dielectric material; etching the dielectric layer to form openings; and filling the openings with a conductive material to form conductive structures.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: September 5, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Joung-Wei Liou, Yi-Wei Chiu, Bo-Jhih Shen
  • Patent number: 11699614
    Abstract: A film deposition method includes maintaining an inside of a chamber to have a predetermined pressure, cooling a stage, on which the object to be processed mounts, to have an ultralow temperature of ?20° C., and mounting the object to be processed on the stage, supplying a gas including a low vapor pressure material gas of a low vapor pressure material into the inside of the chamber, and generating plasma from the supplied gas including the gas of the low vapor pressure material, and causing a precursor generated from the low vapor pressure material by the plasma to be deposited on a recess part of the object to be processed.
    Type: Grant
    Filed: February 15, 2018
    Date of Patent: July 11, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Michiko Nakaya, Masanobu Honda
  • Patent number: 11171011
    Abstract: A method of forming a feature in a stack comprising a dielectric material on a substrate is provided. An etch plasma is generated from an etch gas, exposing the stack to the etch plasma and partially etching the feature in the stack. The stack is primed. A protective film is deposited on sidewalls of the feature by repeating for a plurality of cycles the steps of exposing the stack to a first reactant, allowing the first reactant to adsorb onto the stack, and exposing the stack to a second reactant, wherein the first and second reactants react with one another to form the protective film over the stack. The etching, priming, and depositing a protective film are repeated until the feature is etched to a final depth.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: November 9, 2021
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, Kalman Pelhos
  • Patent number: 10867786
    Abstract: A substrate processing method capable of uniformly maintaining damage to a pattern structure under a thin film formed on a substrate includes supplying a source material to a substrate on which a pattern structure that is reactive with a reactant is formed; and supplying the reactant through at least a central gas inlet of a supply unit in a plasma atmosphere, wherein, during the supplying of the reactant, a blocking material different from the reactant is supplied through an additional gas inlet that is spaced apart from the central gas inlet of the supply unit, and a flow of the blocking material at the edge of the substrate is increased, thereby increasing a radical density of the reactant near a center of the substrate.
    Type: Grant
    Filed: January 23, 2019
    Date of Patent: December 15, 2020
    Assignee: ASM IP Holding B.V.
    Inventor: Seung Woo Choi
  • Patent number: 10593541
    Abstract: A film deposition method is provided. In the method, an aminosilane gas is adsorbed on a surface of a substrate including a recess pattern. Next, a silicon oxide film is deposited on the surface of the substrate including the recess pattern by oxidizing the aminosilane gas adsorbed on the surface of the substrate using an oxidation gas. Then, the silicon oxide film is modified by supplying a mixed gas containing oxygen, argon and nitrogen to the silicon oxide film while activating the mixed gas by plasma.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: March 17, 2020
    Assignee: Tokyo Electron Limited
    Inventor: Hitoshi Kato
  • Patent number: 10388527
    Abstract: A method of manufacturing a semiconductor device is provided with: implanting charged particles including oxygen into a surface of a SiC wafer; and forming a Schottky electrode that makes Schottky contact with the SiC wafer on the surface after the implantation of the charged particles.
    Type: Grant
    Filed: October 6, 2017
    Date of Patent: August 20, 2019
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Norihiro Togawa, Narumasa Soejima, Shoji Mizuno
  • Patent number: 10079362
    Abstract: An organic light-emitting display device includes a substrate, a display unit on the substrate, and a thin film encapsulation unit configured to encapsulate the display unit, and including a corrugated portion including a first layer and a second layer that are sequentially stacked, wherein the first layer includes a material having a lower modulus of elasticity than the second layer, and wherein a corrugated surface is formed at an upper surface of the first layer and a lower surface of the second layer due to a difference in the moduli of elasticity of the first layer and the second layer.
    Type: Grant
    Filed: January 27, 2016
    Date of Patent: September 18, 2018
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jongwoo Kim, Heungkyoon Lim, Yongtack Kim, Jiyoung Moon, Minho Oh, Deokchan Yoon, Seungjae Lee, Yoonhyeung Cho, Jaeheung Ha
  • Patent number: 9941100
    Abstract: The description relates to an adjustable nozzle capable of pivoting about an axis of the nozzle and translating along the axis of the nozzle. A high density plasma chemical vapor deposition (HDP CVD) chamber houses a plurality of adjustable nozzles. A feedback control system includes a control unit coupled to the adjustable nozzle and the HDP CVD chamber to form a more uniform thickness profile of films deposited on a wafer in the HDP CVD chamber.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: April 10, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wei-Ching Wu, Wen-Long Lee, Ding-I Liu
  • Patent number: 9765430
    Abstract: A plasma processing apparatus for alternately performing a first plasma processing step using first and second processing gases and a second plasma processing step using third and fourth processing gases. The apparatus includes: a processing container that has a dielectric window in a ceiling and removably accommodates a workpiece; an exhaust unit that evacuates the processing container; a processing gas supply unit that supplies the first, second, third, and fourth processing gases into the processing container; a first gas introduction unit including a top plate gas injection port, a dielectric window gas flow path, and a first external gas flow path; a second gas introduction unit including a sidewall gas injection port, a sidewall gas flow path, and a second external gas flow path; an electromagnetic wave supply unit that supplies electromagnetic waves into the plasma generating space; a bypass exhaust path; and an opening/closing valve.
    Type: Grant
    Filed: February 23, 2015
    Date of Patent: September 19, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takehisa Saito, Takenao Nemoto, Koji Yamagishi, Hiroshi Kaneko
  • Patent number: 9704744
    Abstract: Techniques and methods related to forming a wrap-around contact on a semiconductor device, and apparatus, system, and mobile platform incorporating such semiconductor devices.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: July 11, 2017
    Assignee: Intel Corporation
    Inventors: Jeffrey S Leib, Ralph T Troeger, Daniel Bergstrom
  • Patent number: 9524865
    Abstract: The present disclosure provides a method for forming a semiconductor device. The method includes providing a substrate and forming a dielectric layer on the substrate by a deposition process using reactant gases. The reactant gases include a silicon-source gas and an oxygen-source gas under a radio-frequency (RF) power. The deposition process performed for a total deposition time to form the dielectric layer is divided into a first time length, a second time length and a third time length. The RF power of the deposition process in the first time length is a first power, the first power gradually increases from the first power to a second power in the second time length, the RF power in the third time length is the second power, and the first power is less than the second power.
    Type: Grant
    Filed: May 27, 2015
    Date of Patent: December 20, 2016
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Hao Deng
  • Patent number: 9472392
    Abstract: Silicon oxide is deposited with improved step coverage by first exposing a patterned substrate to a silicon-containing precursor and then to an oxygen-containing precursor or vice versa. Plasma excitation is used for both precursors. Exposing the precursors one-at-a-time avoids disproportionate deposition of silicon oxide near the opening of a high aspect ratio gap on a patterned substrate. The plasma-excited precursors exhibit a lower sticking coefficient and/or higher surface diffusion rate in regions already adsorbed and therefore end up depositing silicon oxide deep within the high aspect ratio gap to achieve the improvement in step coverage.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: October 18, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Zongbin Wang, Shalina Sudheeran, Loke Yuen Wong, Arvind Sundarrajan
  • Patent number: 9449869
    Abstract: Various embodiments provide interconnect structures and fabrication methods. A carbon-containing dielectric layer can be formed on a substrate. A protective layer can be formed on the carbon-containing dielectric layer to prevent carbon loss from the carbon-containing dielectric layer by performing a surface treatment to the carbon-containing dielectric layer using a gas at least containing silicon and hydrogen. A hard mask layer can be formed on the protective layer. A through hole can be formed in the carbon-containing dielectric layer using the hard mask layer as a mask to expose a surface of the substrate for forming a contact plug in the through hole.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: September 20, 2016
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Ming Zhou
  • Patent number: 9249367
    Abstract: An impingement injector includes an injector core having a plurality of conduits. The conduits include a first conduit and second conduits disposed circumferentially around the first conduit. The second conduits are at an impinging angle with respect to the first conduit. Replaceable, tunable jets are disposed in corresponding ones of the second conduits.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: February 2, 2016
    Assignee: Gas Technology Institute
    Inventor: Stephen Arthur Yows
  • Patent number: 9209306
    Abstract: A thin film transistor includes, an insulating substrate, a gate electrode provided on an upper surface of the insulating substrate, a gate insulating film formed so as to cover the gate electrode, an oxide semiconductor layer provided on the gate insulating film, a channel protective layer provided at least on an upper surface of the oxide semiconductor layer, and a source electrode and a drain electrode provided so as to come into contact with the oxide semiconductor layer, wherein the channel protective layer is formed such that the film density of a portion provided so as to come into contact with the oxide semiconductor layer is higher than the film density of a portion distant from the oxide semiconductor layer.
    Type: Grant
    Filed: March 27, 2013
    Date of Patent: December 8, 2015
    Assignee: Japan Display Inc.
    Inventors: Norihiro Uemura, Takeshi Noda, Hidekazu Miyake, Isao Suzumura
  • Patent number: 9127360
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, an apparatus for processing a substrate includes a process chamber having a substrate support disposed therein to support a processing surface of a substrate at a desired position within the process chamber; a first inlet port to provide a first process gas over the processing surface of the substrate in a first direction; a second inlet port to provide a second process gas over the processing surface of the substrate in a second direction different from the first direction, wherein an azimuthal angle measured between the first direction and the second direction with respect to a central axis of the substrate support is up to about 145 degrees; and an exhaust port disposed opposite the first inlet port to exhaust the first and second process gases from the process chamber.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: September 8, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Balasubramanian Ramachandran, Errol Antonio C. Sanchez, Nyi O. Myo, Kevin Joseph Bautista, Harpreet Singh Juneja, Zuoming Zhu
  • Publication number: 20150147875
    Abstract: The present disclosure relates to the deposition of dopant films, such as doped silicon oxide films, by atomic layer deposition processes. In some embodiments, a substrate in a reaction space is contacted with pulses of a silicon precursor and a dopant precursor, such that the silicon precursor and dopant precursor adsorb on the substrate surface. Oxygen plasma is used to convert the adsorbed silicon precursor and dopant precursor to doped silicon oxide.
    Type: Application
    Filed: September 30, 2014
    Publication date: May 28, 2015
    Inventors: Noboru Takamure, Atsuki Fukazawa, Hideaki Fukuda, Antti Niskanen, Suvi Haukka, Ryu Nakano, Kunitoshi Namba
  • Patent number: 9023693
    Abstract: A multi-mode thin film deposition apparatus including a reaction chamber, a carrying seat, a showerhead, an inert gas supplying source, a first gas inflow system and a second gas inflow system is provided. The carrying seat is disposed in the reaction chamber. The showerhead has a gas mixing room and gas holes disposed at a side of the gas mixing room. The gas mixing room is connected to the reaction chamber through the plurality of gas holes which faces the carrying seat. The first gas inflow system is connected to the reaction chamber and supplies a first process gas during a first thin film deposition process mode. The inert gas supplying source is connected to the gas mixing room for supplying an inert gas. The second gas inflow system is connected to the gas mixing room to supply a second process gas during a second thin film deposition process mode.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: May 5, 2015
    Assignee: Industrial Technology Research Institute
    Inventors: Kung-Liang Lin, Chien-Chih Chen, Fu-Ching Tung, Chih-Yung Chen, Shih-Chin Lin, Kuan-Yu Lin, Chia-Hao Chang, Shieh-Sien Wu
  • Patent number: 9018108
    Abstract: Methods of forming a dielectric layer on a substrate are described, and may include introducing a first precursor into a remote plasma region fluidly coupled with a substrate processing region of a substrate processing chamber A plasma may be formed in the remote plasma region to produce plasma effluents. The plasma effluents may be directed into the substrate processing region. A silicon-containing precursor may be introduced into the substrate processing region, and the silicon-containing precursor may include at least one silicon-silicon bond. The plasma effluents and silicon-containing precursor may be reacted in the processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 28, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Sukwon Hong, Toan Tran, Abhijit Mallick, Jingmei Liang, Nitin K. Ingle
  • Patent number: 9012336
    Abstract: Disclosed are apparatus and methods for processing a substrate. The substrate having a feature with a layer thereon is exposed to an inductively coupled plasma which forms a substantially conformal layer.
    Type: Grant
    Filed: April 8, 2013
    Date of Patent: April 21, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Heng Pan, Matthew Scott Rogers, Johanes F. Swenberg, Christopher S. Olsen, Wei Liu, David Chu, Malcom J. Bevan
  • Patent number: 9006116
    Abstract: A silicon oxide film is formed, having a specific film thickness on a substrate by alternately repeating: forming a silicon-containing layer on the substrate by supplying a source gas containing silicon, to the substrate housed in a processing chamber and heated to a first temperature; and oxidizing and changing the silicon-containing layer formed on the substrate, to a silicon oxide layer by supplying reactive species containing oxygen to the substrate heated to the first temperature in the processing chamber under a pressure atmosphere of less than atmospheric pressure, the reactive species being generated by causing a reaction between an oxygen-containing gas and a hydrogen-containing gas in a pre-reaction chamber under a pressure atmosphere of less than atmospheric pressure and heated to a second temperature equal to the first temperature or higher than the first temperature.
    Type: Grant
    Filed: May 17, 2012
    Date of Patent: April 14, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Masato Terasaki
  • Patent number: 8989888
    Abstract: A method for automatically detecting fault conditions and classifying the fault conditions during substrate processing is provided. The method includes collecting processing data by a set of sensors during the substrate processing. The method also includes sending the processing data to a fault detection/classification component. The method further includes performing data manipulation of the processing data by the fault detection/classification component. The method yet also includes executing a comparison between the processing data and a plurality of fault models stored within a fault library. Each fault model of the plurality of fault models represents a set of data characterizing a specific fault condition. Each fault model includes at least a fault signature, a fault boundary, and a set of principal component analysis (PCA) parameters.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: March 24, 2015
    Assignee: Lam Research Corporation
    Inventors: Gunsu Yun, Vijayakumar C. Venugopal
  • Patent number: 8980767
    Abstract: Methods and apparatus for processing a substrate are provided. In some embodiments, a method of processing a substrate disposed in a process chamber includes performing a process on a substrate disposed in a process chamber having a substrate support ring configured to support the substrate and a reflector plate disposed proximate a back side of the substrate; providing a first gas comprising one of an oxygen containing gas or a nitrogen containing gas to a back side of the substrate via one or more through holes disposed in the reflector plate while performing the process on the substrate; and maintaining the process chamber at a first pressure proximate a top surface of the substrate and at a second pressure proximate the bottom surface of the substrate, wherein the first pressure is greater than the second pressure sufficiently to prevent dislodgement of the substrate from the substrate support ring during processing.
    Type: Grant
    Filed: January 9, 2013
    Date of Patent: March 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Rogers, Martin Ripley
  • Patent number: 8980382
    Abstract: Methods of forming silicon oxide layers are described. The methods include the steps of concurrently combining both a radical precursor and a radical-oxygen precursor with a carbon-free silicon-containing precursor. One of the radical precursor and the silicon-containing precursor contain nitrogen. The methods result in depositing a silicon-oxygen-and-nitrogen-containing layer on a substrate. The oxygen content of the silicon-oxygen-and-nitrogen-containing layer is then increased to form a silicon oxide layer which may contain very little nitrogen. The radical-oxygen precursor and the radical precursor may be produced in separate plasmas or the same plasma. The increase in oxygen content may be brought about by annealing the layer in the presence of an oxygen-containing atmosphere and the density of the film may be increased further by raising the temperature even higher in an inert environment.
    Type: Grant
    Filed: July 15, 2010
    Date of Patent: March 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Nitin Ingle, Abhijit Basu Mallick, Earl Osman Solis, Nicolay Kovarsky, Olga Lyubimova
  • Patent number: 8945978
    Abstract: A metal contact of a solar cell is formed by electroplating copper using an electroplating seed that is formed on a dielectric layer. The electroplating seed includes an aluminum layer that connects to a diffusion region of the solar cell through a contact hole in the dielectric layer. A nickel layer is formed on the aluminum layer, with the nickel layer-aluminum layer stack forming the electroplating seed. The copper is electroplated in a copper plating bath that has methanesulfonic acid instead of sulfuric acid as the supporting electrolyte.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: February 3, 2015
    Assignee: SunPower Corporation
    Inventor: Joseph Frederick Behnke
  • Patent number: 8940646
    Abstract: Disclosed herein are methods of depositing layers of material on multiple semiconductor substrates at multiple processing stations within one or more reaction chambers. The methods may include dosing a first substrate with film precursor at a first processing station and dosing a second substrate with film precursor at a second processing station with precursor flowing from a common source, wherein the timing of said dosing is staggered such that the first substrate is dosed during a first dosing phase during which the second substrate is not substantially dosed, and the second substrate is dosed during a second dosing phase during which the first substrate is not substantially dosed. Also disclosed herein are apparatuses having a plurality of processing stations contained within one or more reaction chambers and a controller with machine-readable instructions for staggering the dosing of first and second substrates at first and second processing stations.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: January 27, 2015
    Assignee: Lam Research Corporation
    Inventors: Ramesh Chandrasekharan, Adrien Lavoie, Damien Slevin, Karl Leeser
  • Publication number: 20150017794
    Abstract: The present disclosure relates to the deposition of dopant films, such as doped silicon oxide films, by atomic layer deposition processes. In some embodiments, a substrate in a reaction space is contacted with pulses of a silicon precursor and a dopant precursor, such that the silicon precursor and dopant precursor adsorb on the substrate surface. Oxygen plasma is used to convert the adsorbed silicon precursor and dopant precursor to doped silicon oxide.
    Type: Application
    Filed: February 19, 2014
    Publication date: January 15, 2015
    Applicant: ASM International. N.V.
    Inventors: Noboru Takamure, Atsuki Fukazawa, Hideaki Fukuda, Antti Niskanen, Suvi Haukka, Ryu Nakano, Kunitoshi Namba
  • Publication number: 20150004805
    Abstract: A method of forming a silicon-containing dielectric material. The method includes forming a plasma comprising nitrogen radicals, absorbing the nitrogen radicals onto a substrate, and exposing the substrate to a silicon-containing precursor in a non-plasma environment to form monolayers of a silicon-containing dielectric material on the substrate. Additional methods are also described, as are semiconductor device structures including the silicon-containing dielectric material and methods of forming the semiconductor device structures.
    Type: Application
    Filed: July 1, 2013
    Publication date: January 1, 2015
    Inventors: Thomas R. Omstead, Cole S. Franklin
  • Patent number: 8906813
    Abstract: Methods for processing a substrate are described herein. Methods can include positioning a substrate in a processing chamber, maintaining the processing chamber at a temperature below 400° C., flowing a reactant gas comprising either a silicon hydride or a silicon halide and an oxidizing precursor into the process chamber, applying a microwave power to create a microwave plasma from the reactant gas, and depositing a silicon oxide layer on at least a portion of the exposed surface of a substrate.
    Type: Grant
    Filed: May 2, 2013
    Date of Patent: December 9, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Tae Kyung Won, Seon-Mee Cho, Soo Young Choi, Beom Soo Park, Dong-Kil Yim, John M. White, Jozef Kudela
  • Patent number: 8901016
    Abstract: A method of forming a metal oxide hardmask on a template includes: providing a template constituted by a photoresist or amorphous carbon formed on a substrate; and depositing by atomic layer deposition (ALD) a metal oxide hardmask on the template constituted by a material having a formula SixM(1-x)Oy wherein M represents at least one metal element, x is less than one including zero, and y is approximately two or a stoichiometrically-determined number.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: December 2, 2014
    Assignee: ASM Japan K.K.
    Inventors: Jeongseok Ha, Hideaki Fukuda, Shintaro Kaido
  • Publication number: 20140302689
    Abstract: Methods for depositing flowable dielectric films are provided. In some embodiments, the methods involve introducing a silicon-containing precursor to a deposition chamber wherein the precursor is characterized by having a partial pressure:vapor pressure ratio between 0.01 and 1. In some embodiments, the methods involve depositing a high density plasma dielectric film on a flowable dielectric film. The high density plasma dielectric film may fill a gap on a substrate. Also provided are apparatuses for performing the methods.
    Type: Application
    Filed: April 9, 2014
    Publication date: October 9, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Kaihan Ashtiani, Michael Wood, John Drewery, Naohiro Shoda, Bart van Schravendijk, Lakshminarayana Nittala, Nerissa Draeger
  • Patent number: 8846536
    Abstract: Provided herein are integration-compatible dielectric films and methods of depositing and modifying them. According to various embodiments, the methods can include deposition of flowable dielectric films targeting specific film properties and/or modification of those properties with an integration-compatible treatment process. In certain embodiments, methods of depositing and modifying flowable dielectric films having tunable wet etch rates and other properties are provided. Wet etch rates can be tuned during integration through am integration-compatible treatment process. Examples of treatment processes include plasma exposure and ultraviolet radiation exposure.
    Type: Grant
    Filed: June 11, 2012
    Date of Patent: September 30, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Nerissa Draeger, Karena Shannon, Bart van Schravendijk, Kaihan Ashtiani
  • Patent number: 8828890
    Abstract: Provided is a method of depositing a cyclic thin film that can provide excellent film properties and step coverage. The method comprises the steps of forming a silicon thin film by repeating a silicon deposition step for depositing silicon on a substrate by injecting a silicon precursor into a chamber into which the substrate is loaded and a first purge step for removing a non-reacted silicon precursor and a reacted byproduct from the chamber; and forming the insulating film including silicon from the silicon thin film by forming a plasma atmosphere into the chamber.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: September 9, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventors: Hai Won Kim, Sang Ho Woo
  • Patent number: 8822313
    Abstract: Embodiments provided herein describe methods and systems for processing substrates. A plasma including radical species and charged species is generated. The charged species of the plasma are collected. A substrate is exposed to the radical species of the plasma. A layer is formed on the substrate after exposing the substrate to the radical species.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: September 2, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Chi-I Lang, Sandip Niyogi
  • Patent number: 8809161
    Abstract: Methods of this invention relate to filling gaps on substrates with a solid dielectric material by forming a flowable film in the gap. The flowable film provides consistent, void-free gap fill. The film is then converted to a solid dielectric material. In this manner gaps on the substrate are filled with a solid dielectric material. According to various embodiments, the methods involve reacting a dielectric precursor with an oxidant to form the dielectric material. In certain embodiments, the dielectric precursor condenses and subsequently reacts with the oxidant to form dielectric material. In certain embodiments, vapor phase reactants react to form a condensed flowable film.
    Type: Grant
    Filed: July 3, 2013
    Date of Patent: August 19, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Vishal Gauri, Raashina Humayun, Chi-I Lang, Judy H. Huang, Michael Barnes, Sunil Shanker
  • Patent number: 8790982
    Abstract: Oxidation methods and resulting structures including providing an oxide layer on a substrate and then reoxidizing the oxide layer by vertical ion bombardment of the oxide layer in an atmosphere containing at least one oxidant. The oxide layer may be provided over diffusion regions, such as source and drain regions, in a substrate. The oxide layer may overlie the substrate and is proximate a gate structure on the substrate. The at least one oxidant may be oxygen, water, ozone, or hydrogen peroxide, or a mixture thereof. These oxidation methods provide a low-temperature oxidation process, less oxidation of the sidewalls of conductive layers in the gate structure, and less current leakage to the substrate from the gate structure.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: July 29, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Pai-Hung Pan
  • Patent number: 8790785
    Abstract: A method of forming a porous insulation film uses an organic silica material gas having a 3-membered SiO cyclic structure and a 4-membered SiO cyclic structure, or an organic silica material gas having a 3-membered SiO cyclic structure and a straight-chain organic silica structure, and uses a plasma reaction in the filming process. A porous interlevel dielectric film having a higher strength and a higher adhesive property can be obtained.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: July 29, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Hironori Yamamoto, Fuminori Ito, Munehiro Tada, Yoshihiro Hayashi
  • Patent number: 8772101
    Abstract: One method includes forming first sidewall spacers adjacent opposite sides of a sacrificial gate structure and a gate cap layer, removing the gate cap layer and a portion of the first sidewall spacers to define reduced-height first sidewall spacers, forming second sidewall spacers, removing the sacrificial gate structure to thereby define a gate cavity, whereby a portion of the gate cavity is laterally defined by the second sidewall spacers, and forming a replacement gate structure in the gate cavity, wherein at least a first portion of the replacement gate structure is positioned between the second sidewall spacers. A device includes a gate structure positioned above the substrate between first and second spaced-apart portions of a layer of insulating material and a plurality of first sidewall spacers, each of which are positioned between the gate structure and on one of the first and second portions of the layer of insulating material.
    Type: Grant
    Filed: November 8, 2012
    Date of Patent: July 8, 2014
    Assignees: GLOBALFOUNDRIES Inc., International Business Machines Corporation
    Inventors: Ruilong Xie, Ponoth Shom, Cho Jin, Charan Veera Venkata Satya Surisetty
  • Patent number: 8772182
    Abstract: A semiconductor device manufacture method has the steps of: (a) coating a low dielectric constant low-level insulating film above a semiconductor substrate formed with a plurality of semiconductor elements; (b) processing the low-level insulating film to increase a mechanical strength of the low-level insulating film; (c) coating a low dielectric constant high-level insulating film above the low-level insulating film; and (d) forming a buried wiring including a wiring pattern in the high-level insulating film and a via conductor in the low-level insulating film. The low-level insulating film and high-level insulating film are made from the same material. The process of increasing the mechanical strength includes an ultraviolet ray irradiation process or a hydrogen plasma applying process.
    Type: Grant
    Filed: May 5, 2010
    Date of Patent: July 8, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Yoshiyuki Ohkura
  • Patent number: 8753986
    Abstract: A deposition for producing a porous organosilica glass film comprising: introducing into a vacuum chamber gaseous reagents including one precursor of an organosilane or an organosiloxane, and a porogen distinct from the precursor, wherein the porogen is aromatic in nature; applying energy to the gaseous reagents in the chamber to induce reaction of the gaseous reagents to deposit a film, containing the porogen; and removing substantially all of the organic material by UV radiation to provide the porous film with pores and a dielectric constant less than 2.6.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: June 17, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Mary Kathryn Haas, Raymond Nicholas Vrtis, Laura M. Matz
  • Patent number: 8753988
    Abstract: Disclosed is a starting material for use in forming a silicon oxide film on a substrate by the CVD method, comprising a siloxane compound having a carbonyl group, wherein the starting material is decomposed by applying energy, thereby releasing CO and producing a product having no dangling bond in the chemical structure, and the product contributes to the formation of the film. As a result, a silicon oxide film having a favorable step coverage is formed.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: June 17, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Song Yun Kang
  • Patent number: 8728908
    Abstract: Disclosed herein are various methods of forming metal-containing insulating material regions on a metal layer of a gate structure of a semiconductor device. In one example, the method includes forming a gate structure of a transistor, the gate structure comprising at least a first metal layer, and forming a first metal-containing insulating material region in the first metal layer by performing a gas cluster ion beam process using to implant gas molecules into the first metal layer.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: May 20, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Chang Seo Park, William James Taylor, III, John Iacoponi
  • Patent number: 8716153
    Abstract: A device for producing a microwave plasma, and a device and a method for treating semiconductor substrates with a microwave plasma, the microwave plasma device comprising at least one electrode (21, 22, 23), an electrode (21, 22, 23) comprising a coaxial inner conductor (21) made of electrically conductive material and a coaxial outer conductor (22) made of electrically conductive material and surrounding the inner conductor at least partially and being disposed at a distance thereto, and a plasma ignition device (23) that is connected to the coaxial inner conductor (21), characterized in that the coaxial outer conductor (22) comprises at least one first partial region (31) in which it completely surrounds the coaxial inner conductor (21) along the longitudinal axis thereof and comprises at least one further partial region (32) in which it surrounds the coaxial inner conductor (21) partially such that microwave radiation generated by the microwave generator (20) can exit in the at least one further partial re
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: May 6, 2014
    Assignee: HQ-Dielectrics GmbH
    Inventors: Wilfried Lerch, Zsolt Nenyel, Thomas Theiler
  • Patent number: 8716150
    Abstract: Methods of forming a semiconductor device are provided. The methods include, for example, forming a low-k dielectric having a continuous planar surface, and, after forming the low-k dielectric, subjecting the continuous planar surface of the low-k dielectric to an ethylene plasma enhanced chemical vapor deposition (PECVD) treatment.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: May 6, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Zhiguo Sun, Songkram Srivathanakul, Huang Liu, Hung-Wei Liu
  • Patent number: 8709958
    Abstract: An embodiment of the invention provides a solid-state image pickup element, including: a semiconductor layer having a photodiode, photoelectric conversion being carried out in the photodiode; a silicon oxide film formed on the semiconductor layer in a region having at least the photodiode by using plasma; and a film formed on the silicon oxide film and having negative fixed charges.
    Type: Grant
    Filed: June 11, 2012
    Date of Patent: April 29, 2014
    Assignee: Sony Corporation
    Inventors: Itaru Oshiyama, Susumu Hiyama
  • Publication number: 20140094038
    Abstract: The present invention provides methods and apparatuses for improving adhesion of dielectric and conductive layers on a substrate to the underlying layer. The methods involve passing a process gas through a plasma generator downstream of the substrate to create reactive species. The underlying layer is then exposed to reactive species that interact with the film surface without undesirable sputtering. The gas is selected such that the interaction of the reactive species with the underlying layer modifies the surface of the layer in a manner that improves adhesion to the subsequently formed overlying layer. During exposure to the reactive species, the substrate and/or process gas may be exposed to ultraviolet radiation to enhance surface modification. In certain embodiments, a single UV cure tool is used to cure the underlying film and improve adhesion.
    Type: Application
    Filed: September 13, 2013
    Publication date: April 3, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Jason Dirk Haverkamp, Dennis Hausmann, Roey Shaviv
  • Patent number: 8664105
    Abstract: A method for processing a wafer with a wafer bevel that surrounds a central region is provided. The wafer is placed in a bevel plasma processing chamber. A protective layer is deposited on the wafer bevel without depositing the protective layer over the central region. The wafer is removed from the bevel plasma processing chamber. The wafer is further processed.
    Type: Grant
    Filed: August 2, 2013
    Date of Patent: March 4, 2014
    Assignee: Lam Research Corporation
    Inventors: Andreas Fischer, William Scott Bass
  • Patent number: 8647993
    Abstract: Described are methods of making silicon nitride (SiN) materials and other silicon-containing films, including carbon-containing and/or oxygen-containing films such as SiCN (also referred to as SiNC), SiON and SiONC films, on substrates. According to various embodiments, the methods involve electromagnetic radiation-assisted activation of one or more reactants. In certain embodiments, for example, the methods involve ultraviolet (UV) activation of vapor phase amine coreactants. The methods can be used to deposit silicon-containing films, including SiN and SiCN films, at temperatures below about 400° C.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: February 11, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Adrien LaVoie, Bhadri Varadarajan, Jon Henri, Dennis Hausmann
  • Patent number: 8647992
    Abstract: Methods of forming silicon oxide layers are described. The methods include mixing a carbon-free silicon-containing precursor with a radical-nitrogen precursor, and depositing a silicon-and-nitrogen-containing layer on a substrate. The radical-nitrogen precursor is formed in a plasma by flowing a hydrogen-and-nitrogen-containing precursor into the plasma. Prior to depositing the silicon-and-nitrogen-containing layer, a silicon oxide liner layer is formed to improve adhesion, smoothness and flowability of the silicon-and-nitrogen-containing layer. The silicon-and-nitrogen-containing layer may be converted to a silicon-and-oxygen-containing layer by curing and annealing the film. Methods also include forming a silicon oxide liner layer before applying a spin-on silicon-containing material.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: February 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Jingmei Liang, Nitin K. Ingle