Ionized Irradiation (e.g., Corpuscular Or Plasma Treatment, Etc.) Patents (Class 438/798)
  • Publication number: 20130029498
    Abstract: A method for reducing a dielectric constant of a film includes (i) forming a dielectric film on a substrate; (ii) treating a surface of the film without film formation, and (III) curing the film. Step (i) includes providing a dielectric film containing a porous matrix and a porogen on a substrate, step (ii) includes, prior to or subsequent to step (iii), treating the dielectric film with charged species of hydrogen generated by capacitively-coupled plasma without film deposition to reduce a dielectric constant of the dielectric film, and step (iii) includes UV-curing the dielectric film to remove at least partially the porogen from the film.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: ASM Japan K.K.
    Inventor: Akinori Nakano
  • Publication number: 20130017674
    Abstract: Described herein are methods for forming a semiconductor structure. The methods involve forming a doped semiconductor film, amorphizing the doped semiconductor film through ion implantation; and annealing the doped semiconductor film. The ion implantation and the annealing can increase an activation efficiency of the dopant. The ion implantation and the annealing can also reduce a number of crystalline defects in the doped semiconductor film.
    Type: Application
    Filed: July 13, 2011
    Publication date: January 17, 2013
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Hiroshi Itokawa
  • Patent number: 8338317
    Abstract: According to various embodiments, a method for processing a semiconductor wafer or die is provided including supplying particles to a plasma such that the particles are activated by the plasma and spraying the activated particles on the semiconductor wafer or die to generate a particle layer on the semiconductor wafer or die.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: December 25, 2012
    Assignee: Infineon Technologies AG
    Inventors: Manfred Engelhardt, Hans-Joerg Timme, Ivan Nikitn, Manfred Frank, Thomas Kunstmann, Werner Robl, Guenther Ruhl
  • Patent number: 8338211
    Abstract: Systems and methods of the present invention can be used to charge a charge-holding layer (such as a passivation layer and/or antireflective layer) of a solar cell with a positive or negative charge as desired. The charge-holding layer(s) of such a cell can include any suitable dielectric material capable of holding either a negative or a positive charge, and can be charged at any suitable point during manufacture of the cell, including during or after deposition of the passivation layer(s). A method according to one aspect of the invention includes disposing a solar cell in electrical communication with an electrode inside a chamber. The solar cell includes an emitter, a base, a first passivation layer adjacent the emitter, and a second passivation layer adjacent the base. Gas is injected into the chamber and a plasma (with photons having an energy level of at least about 3.1 eV) is generated using the gas.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: December 25, 2012
    Assignee: Amtech Systems, Inc.
    Inventor: Jeong-Mo Hwang
  • Patent number: 8318611
    Abstract: Combinatorial plasma enhanced deposition techniques are described, including designating multiple regions of a substrate, providing a precursor to at least a first region of the multiple regions, and providing a plasma to the first region to deposit a first material on the first region formed using the first precursor, wherein the first material is different from a second material formed on a second region of the substrate.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: November 27, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony P. Chiang
  • Patent number: 8314012
    Abstract: An SOI substrate having a single crystal semiconductor layer with high surface planarity is manufactured. A semiconductor substrate is doped with hydrogen, whereby a damaged region which contains large quantity of hydrogen is formed. After a single crystal semiconductor substrate and a supporting substrate are bonded together, the semiconductor substrate is heated, whereby the single crystal semiconductor substrate is separated in the damaged region. While a heated high-purity nitrogen gas is sprayed on a separation plane of the single crystal semiconductor layer separated from the single crystal semiconductor substrate, laser beam irradiation is performed. By irradiation with a laser beam, the single crystal semiconductor layer is melted, whereby planarity of the surface of the single crystal semiconductor layer is improved and re-single-crystallization is performed.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: November 20, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 8304033
    Abstract: Disclosed are methods of operation to grow, modify, deposit, or dope a layer upon a substrate using a multi-nozzle and skimmer assembly for introducing a process gas mixture, or multiple process gases mixtures, in a gas cluster ion beam (GCIB) system. Also disclosed is a method of forming a shallow trench isolation (STI) structure on a substrate, for example, an SiO2 STI structure, using a multiple nozzle system with two separate gas supplies, for example providing a silicon-containing gas and an oxygen-containing gas.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: November 6, 2012
    Assignee: TEL Epion Inc.
    Inventors: Martin D. Tabat, Matthew C. Gwinn, Robert K. Becker, Avrum Freytsis, Michael Graf
  • Publication number: 20120270383
    Abstract: Provided is a method for manufacturing a semiconductor device, in which a degradation of characteristics of a thin film transistor can be suppressed by performing plasma oxidation treatment on a gate insulating film containing nitrogen. An embodiment of the present invention is a method for manufacturing a semiconductor device comprising a thin film transistor including a gate electrode, a gate insulating film containing nitrogen, and a channel region in microcrystalline semiconductor films. The method includes the steps of performing plasma treatment on the gate insulating film in an oxidizing gas atmosphere containing hydrogen and an oxidizing gas containing an oxygen atom, and forming the microcrystalline semiconductor film over the gate insulating film. Formula (1), a/b?2, and Formula (2), b>0, are satisfied, where the amount of hydrogen and the amount of the oxidizing gas in the oxidizing gas atmosphere are a and b, respectively.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 25, 2012
    Applicants: SHARP KABUSHIKI KAISHA, SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Kanta ABE, Hidekazu MIYAIRI, Tetsuhiro TANAKA, Takashi IENAGA, Yoshitaka YAMAMOTO
  • Patent number: 8293620
    Abstract: A method of implanting atoms and/or ions into a substrate, including: a) a first implantation of ions or atoms at a first depth in the substrate, to form a first implantation plane, b) at least one second implantation of ions or atoms at a second depth in the substrate, which is different from the first depth, to form at least one second implantation plane.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: October 23, 2012
    Assignees: Commissariat a l'Energie Atomique et aux Energies Alternatives, S.O.I. TEC Silicon On Insulator Technologies
    Inventors: Thomas Signamarcheix, Chrystel Deguet, Frederic Mazen
  • Patent number: 8293626
    Abstract: It is an object to provide a homogeneous semiconductor film in which variation in the size of crystal grains is reduced. Alternatively, it is an object to provide a homogeneous semiconductor film and to achieve cost reduction. By introducing a glass substrate over which an amorphous semiconductor film is formed into a treatment atmosphere set at more than or equal to a temperature that is needed for crystallization, rapid heating due to heat conduction from the treatment atmosphere is performed so that the amorphous semiconductor film is crystallized. More specifically, for example, after the temperature of the treatment atmosphere is increased in advance to a temperature that is needed for crystallization, the substrate over which the semiconductor film is formed is put into the treatment atmosphere.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: October 23, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideto Ohnuma, Naoki Okuno
  • Publication number: 20120258607
    Abstract: A semiconductor substrate processing system includes a processing chamber and a substrate support defined to support a substrate in the processing chamber. The system also includes a plasma chamber defined separate from the processing chamber. The plasma chamber is defined to generate a plasma. The system also includes a plurality of fluid transmission pathways fluidly connecting the plasma chamber to the processing chamber. The plurality of fluid transmission pathways are defined to supply reactive constituents of the plasma from the plasma chamber to the processing chamber. The system further includes a plurality of power delivery components defined to deliver power to the plurality of fluid transmission pathways, so as to generate supplemental plasma within the plurality of fluid transmission pathways. The plurality of fluid transmission pathways are defined to supply reactive constituents of the supplemental plasma to the processing chamber.
    Type: Application
    Filed: January 24, 2012
    Publication date: October 11, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L.G. Ventzek, Harmeet Singh, Jun Shinagawa, Akira Koshiishi
  • Publication number: 20120258606
    Abstract: A semiconductor substrate processing system includes a processing chamber and a substrate support defined to support a substrate in the processing chamber. The system also includes a plasma chamber defined separate from the processing chamber. The plasma chamber is defined to generate a plasma. The system also includes a plurality of fluid transmission pathways fluidly connecting the plasma chamber to the processing chamber. The plurality of fluid transmission pathways are defined to supply reactive constituents of the plasma from the plasma chamber to the processing chamber. The system further includes an electron injection device for injecting electrons into the processing chamber to control an electron energy distribution within the processing chamber so as to in turn control an ion-to-radical density ratio within the processing chamber. In one embodiment, an electron beam source is defined to transmit an electron beam through the processing chamber above and across the substrate support.
    Type: Application
    Filed: January 24, 2012
    Publication date: October 11, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Jun Shinagawa, Akira Koshiishi
  • Publication number: 20120252222
    Abstract: A method for amorphizing a layer on a substrate is described. In one embodiment, the method includes treating the substrate with a first gas cluster ion beam (GCIB) using a first beam energy selected to yield an amorphous sub-layer within the substrate of a desired thickness, which produces a first interfacial roughness of an amorphous-crystal interface between the amorphous sub-layer and a crystalline sub-layer of the substrate. The method further includes treating the substrate with a second GCIB using a second beam energy, less than the first beam energy, to reduce the first interfacial roughness of the amorphous-crystal interface to a second interfacial roughness.
    Type: Application
    Filed: March 28, 2011
    Publication date: October 4, 2012
    Applicant: TEL EPION INC.
    Inventor: John Gumpher
  • Patent number: 8278124
    Abstract: In some embodiments of the invention, encapsulated semiconducting nanomaterials are described. In certain embodiments the nanostructures described are semiconducting nanomaterials encapsulated with ordered carbon shells. In some aspects a method for producing encapsulated semiconducting nanomaterials is disclosed. In some embodiments applications of encapsulated semiconducting nanomaterials are described.
    Type: Grant
    Filed: February 16, 2010
    Date of Patent: October 2, 2012
    Assignee: Brookhaven Science Associates, LLC
    Inventors: Eli Anguelova Sutter, Peter Werner Sutter
  • Patent number: 8278739
    Abstract: A method for manufacturing is: forming an insulating film over a substrate; forming an amorphous semiconductor film over the insulating film; forming over the amorphous semiconductor film, a silicon nitride film in which a film thickness is equal to or more than 200 nm and equal to or less than 1000 nm, equal to or less than 10 atomic % of oxygen is included, and a relative proportion of nitrogen to silicon is equal to or more than 1.3 and equal to or less than 1.5; irradiating the amorphous semiconductor film with a continuous-wave laser light or a laser light with repetition rate of equal to or more than the wave length of 10 MHz transmitting the silicon nitride film to melt and later crystallize the amorphous semiconductor film to form a crystalline semiconductor film.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: October 2, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tomoaki Moriwaka
  • Publication number: 20120244724
    Abstract: An ion implantation method includes generating CmHy+ ions (m is such an integer as 4?m?6, and y is such an integer as 1?y?2m+2) using an ion generating material expressed by CnHx (n is such an integer as 4?n?6, and x is such an integer as 1?x?2n+2), and implanting the ions into a wafer.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 27, 2012
    Applicant: NISSIN ION EQUIPMENT CO., LTD.
    Inventors: Yasunori Kawamura, Kyoko Kawakami, Yoshiki Nakashima
  • Patent number: 8268664
    Abstract: Methods of manufacturing a semiconductor device, a method of manufacturing a memory cell, a semiconductor device, a semiconductor processing device, and a memory cell, are provided. In one embodiment a method of manufacturing a semiconductor device is provided including forming a metal doped chalcogenide layer using light irradiation at least partially during provision of the metal.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: September 18, 2012
    Assignees: Altis Semiconductor, Adesto Technology Corporation
    Inventor: Faiz Dahmani
  • Publication number: 20120220102
    Abstract: A method of manufacturing semiconductor wafers, the method comprising providing a donor wafer comprising a semiconductor substrate; performing a lithography step and process the said donor wafer accordingly; and performing at least two layers transfer out of said donor wafer wherein each of said at least two layer had been effected by said process
    Type: Application
    Filed: November 22, 2010
    Publication date: August 30, 2012
    Inventors: Zvi Or-Bach, Deepak C. Sekar, Brian Cronquest
  • Patent number: 8253132
    Abstract: Provided is a bottom emission type organic EL panel capable of preventing or delaying loss of light emission from an end portion of the light emission area and reduction of the light emission area in an organic EL element. This organic electro luminescence panel includes an organic electro luminescence element having at least one organic layer between an anode and a cathode arranged on a substrate. This panel has a main light emission area emitting light with a high luminance and a non-light emission area or a low light emission area emitting light with a lower luminance than the main light emission area, arranged outside the end portion of the main light emission area. By limiting the main light emission area to a smaller size than the cathode forming area, the end portion of the cathode forming area is arranged outside the end portion of the main light emission area.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: August 28, 2012
    Assignee: Konica Minolta Holdings, Inc.
    Inventors: Keiichi Furukawa, Nobuhiko Takashima
  • Patent number: 8252609
    Abstract: A method for reducing curvature of a wafer having a semiconductor surface. One or more process steps are identified at which wafers exhibit the largest curvature, and/or wafer curvature that may reduce die yield. A crystal damaging process converts at least a portion of the semiconductor surface into at least one amorphous surface region After or contemporaneously with the crystal damaging, the amorphous surface region is recrystallized by recrystallization annealing that anneals the wafer for a time ?5 seconds at a temperature sufficient for recrystallization of the amorphous surface region. A subsequent photolithography step is facilitated due to the reduction in average wafer curvature provided by the recrystallization.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: August 28, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Brian K. Kirkpatrick, Steven L. Prins, Amitabh Jain
  • Publication number: 20120211879
    Abstract: A manufacturing method of a semiconductor device includes exposing a wiring layer which is formed of an alloy including two or more types of metals having different standard electrode potentials, on one surface side of a semiconductor substrate and performing a plasma process of allowing plasma generated by a mixture gas of a gas including nitrogen and an inert gas or plasma generated by a gas including nitrogen to irradiate a range which includes an exposed surface of the wiring layer.
    Type: Application
    Filed: January 26, 2012
    Publication date: August 23, 2012
    Applicant: Sony Corporation
    Inventors: Kazuto WATANABE, Atsushi MATSUSHITA, Hiroshi HORIKOSHI, Iwao SUGIURA, Yuuji NISHIMURA, Syota YAMABATA
  • Patent number: 8242498
    Abstract: A compound semiconductor substrate 10 according to the present invention is comprised of a Group III nitride and has a surface layer 12 containing a chloride of not less than 200×1010 atoms/cm2 and not more than 12000×1010 atoms/cm2 in terms of Cl and an oxide of not less than 3.0 at % and not more than 15.0 at % in terms of O, at a surface. The inventors conducted elaborate research and newly discovered that when the surface layer 12 at the surface of the compound semiconductor substrate 10 contained the chloride of not less than 200×1010 atoms/cm2 and not more than 12000×1010 atoms/cm2 in terms of Cl and the oxide of not less than 3.0 at % and not more than 15.0 at % in terms of O, Si was reduced at an interface between the compound semiconductor substrate 10 and an epitaxial layer 14 formed thereon and, as a result, the electric resistance at the interface was reduced.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: August 14, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Fumitake Nakanishi
  • Patent number: 8231800
    Abstract: There is provided a plasma processing apparatus including a plasma generating unit for generating a plasma in a processing chamber in which a set processing is performed on a substrate serving as an object to be processed. The plasma processing apparatus further includes a particle moving unit for electrostatically driving particles in a region above the substrate to be removed out of the region above the substrate in the processing chamber while the processing on the substrate is performed by using the plasma. In addition, there is provided a plasma processing method of a plasma processing apparatus including the steps of generating plasma in a processing chamber in which a set processing is performed on a substrate serving as an object to be processed; and performing the processing on the substrate by the plasma.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: July 31, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Hiroyuki Nakayama
  • Patent number: 8227359
    Abstract: A method for manufacturing a Group III nitride semiconductor layer according to the present invention includes a sputtering step of disposing a substrate and a target containing a Group III element in a chamber, introducing a gas for formation of a plasma in the chamber and forming a Group III nitride semiconductor layer added with Si as a dopant on the substrate by a reactive sputtering method, wherein a Si hydride is added in the gas for formation of a plasma.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: July 24, 2012
    Assignee: Showa Denko K.K.
    Inventors: Yasunori Yokoyama, Hisayuki Miki
  • Patent number: 8222124
    Abstract: This method for manufacturing a SIMOX wafer includes: forming a mask layer on one surface side of a silicon single crystal wafer, which has an opening on a region where a BOX layer is to be formed; implanting oxygen ions through the opening of the mask layer into the silicon single crystal wafer to a predetermined depth, and locally forming an oxygen implantation region; annealing the silicon single crystal wafer with the mask layer, and oxidizing the oxygen implantation region so as to form the BOX layer; and removing a coated oxide film that covers the whole silicon single crystal wafer which is formed in the annealing of the silicon single crystal wafer, wherein the mask layer has a lamination comprising an oxide film and either one or both of a polysilicon film and an amorphous silicon film.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: July 17, 2012
    Assignee: Sumco Corporation
    Inventor: Tetsuya Nakai
  • Publication number: 20120164845
    Abstract: The present invention generally provides apparatus and method for processing a substrate. Particularly, the present invention provides apparatus and methods to obtain a desired distribution of a process gas. One embodiment of the present invention provides an apparatus for processing a substrate comprising an injection nozzle having a first fluid path including a first inlet configured to receive a fluid input, and a plurality of first injection ports connected with the first inlet, wherein the plurality of first injection ports are configured to direct a fluid from the first inlet towards a first region of a process volume, and a second fluid path including a second inlet configured to receive a fluid input, and a plurality of second injection ports connected with the second inlet, wherein the second injection ports are configured to direct a fluid from the second inlet towards a second region of the process volume.
    Type: Application
    Filed: March 8, 2012
    Publication date: June 28, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Wei Liu, Johanes S. Swenberg, Hanh D. Nguyen, Son T. Nguyen, Roger Curtis, Philip A. Bottini
  • Patent number: 8207013
    Abstract: A simplified method for fabricating a solar cell device is provided. The solar cell device has silicon nanowires (SiNW) grown on an upgraded metallurgical grade (UMG) silicon (Si) substrate. Processes of textured surface process and anti-reflection thin film process can be left out for further saving costs on equipment and manufacture investment. Thus, a low-cost Si-based solar cell device can be easily fabricated for wide application.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: June 26, 2012
    Assignee: Atomic Energy Council Institute of Nuclear Energy Research
    Inventor: Tsun-Neng Yang
  • Patent number: 8202811
    Abstract: To provide a manufacturing apparatus of a semiconductor device, which does not use a stepper in a manufacturing process in the case where mass production of semiconductor devices is carried out by using a large-sized substrate. A thin film formed over a substrate having an insulating surface is selectively irradiated with a laser beam through light control means, specifically through an electro-optical device to cause ablation; accordingly, the thin film is partially removed, thereby processing the thin film in a remaining region into a desired shape. The electro-optical device functions as a variable mask by inputting an electrical signal based on design CAD data of the semiconductor device.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: June 19, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koichiro Tanaka, Shunpei Yamazaki
  • Patent number: 8198179
    Abstract: A method for producing a group III nitride semiconductor light-emitting device including: an intermediate layer formation step in which an intermediate layer containing group III nitride is formed on a substrate by sputtering, and a laminate semiconductor formation step in which an n-type semiconductor layer having a base layer, a light-emitting layer, and a p-type semiconductor layer are laminated on the intermediate layer in this order, wherein the method includes a pretreatment step in which the intermediate layer is treated using plasma between the intermediate layer formation step and the laminate semiconductor formation step, and a formation step for the base layer which is included in the laminate semiconductor formation step is a step for laminating the base layer by sputtering.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: June 12, 2012
    Assignee: Showa Denko K.K.
    Inventors: Yasumasa Sasaki, Hisayuki Miki
  • Patent number: 8183070
    Abstract: A method of fabricating an array substrate for a liquid crystal display device includes: forming an initial photoresist (PR) pattern on a metallic material layer; etching the metallic material layer using the initial PR pattern as an etching mask to form the data line and a metallic material pattern, wherein the initial PR pattern is disposed on the data line; performing a first ashing process onto the initial PR pattern to partially remove the initial PR pattern so as to form a first ashed PR pattern, the first ashed PR pattern having a smaller width and a smaller thickness than the initial PR pattern such that end portions of the data line are exposed by the first ashed PR pattern; etching the intrinsic amorphous silicon layer and the impurity-doped amorphous silicon layer by a first dry-etching process; forming a source electrode and a drain electrode on the substrate.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: May 22, 2012
    Assignee: LG Display Co., Ltd.
    Inventors: Seok-Won Kim, Won-Joon Ho, Hyuk-Jin Kwon, Chang-Mo Yoo
  • Patent number: 8169046
    Abstract: A light emitting diode (LED) includes a substrate, a temperature detecting pattern, and a semiconductor structure. The temperature detecting pattern is formed on the substrate. Then the semiconductor structure is formed on the temperature detecting pattern and the substrate. The semiconductor structure includes an n-type semiconductor layer, a p-type semiconductor layer, and an active layer. Per above-mentioned structural design, the temperature detecting pattern directly integrated into the LED can measure the actual temperature of PN junction with high precision.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: May 1, 2012
    Assignees: Silitek Electronic (Guangzhou) Co., Ltd., Lite-On Technology Corporation
    Inventor: Chen-Yu Chen
  • Patent number: 8163654
    Abstract: A method for fabricating a fine pattern in a semiconductor device includes forming a first photoresist over a substrate where an etch target layer is formed, doping at least one impurity selected from group III elements and group V elements, of the periodic table, into the first photoresist, forming a photoresist pattern over the first photoresist, performing a dry etching process using the photoresist pattern to expose the first photoresist, etching the first photoresist by an oxygen-based dry etching to form a first photoresist pattern where a doped region is oxidized, and etching the etch target layer using the first photoresist pattern as an etch barrier.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: April 24, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Patent number: 8163572
    Abstract: A method of manufacturing a semiconductor device forms the semiconductor device in a device region of a semiconductor substrate simultaneously with forming a monitor semiconductor device that includes a gate electrode made of silicon containing material arranged on a gate insulating film in a monitor region of the semiconductor substrate, a source electrode and a drain electrode formed on the semiconductor substrate on corresponding sides of the gate electrode. The gate electrode is removed without removing a gate insulating film by applying pyrolysis hydrogen generated by pyrolysis on the monitor semiconductor device in the monitor region, and the gate insulating film is removed by a wet process. Impurities distribution of a silicon active region appearing after the gate electrode is removed is measured and fed back to a semiconductor manufacturing process.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: April 24, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kazuo Hashimi, Hidekazu Sato
  • Patent number: 8153535
    Abstract: Combinatorial plasma enhanced deposition techniques are described, including designating multiple regions of a substrate, providing a precursor to at least a first region of the multiple regions, and providing a plasma to the first region to deposit a first material on the first region formed using the first precursor, wherein the first material is different from a second material formed on a second region of the substrate.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: April 10, 2012
    Inventors: Sunil Shanker, Tony P. Chiang
  • Publication number: 20120083136
    Abstract: A method of reducing the roughness profile in a plurality of patterned resist features. Each patterned resist feature includes a first sidewall and a second sidewall opposite the first sidewall, wherein each patterned resist feature comprises a mid frequency line width roughness and a low frequency linewidth roughness. A plurality of ion exposure cycles are performed, wherein each ion exposure cycle comprises providing ions at a tilt angle of about five degrees or larger upon the first sidewall, and providing ions at a tilt angle of about five degrees or larger upon the second sidewall. Upon the performing of the plurality of ion exposure cycles the mid frequency and low frequency linewidth roughness are reduced.
    Type: Application
    Filed: October 1, 2010
    Publication date: April 5, 2012
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Ludovic Godet, Joseph C. Olson, Patrick M. Martin
  • Patent number: 8148273
    Abstract: Combinatorial plasma enhanced deposition techniques are described, including designating multiple regions of a substrate, providing a precursor to at least a first region of the multiple regions, and providing a plasma to the first region to deposit a first material on the first region formed using the first precursor, wherein the first material is different from a second material formed on a second region of the substrate.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: April 3, 2012
    Inventors: Sunil Shanker, Tony P. Chiang
  • Patent number: 8138573
    Abstract: An on-chip heater and methods for fabrication thereof and use thereof provide that the heater is located within an isolation region that in turn is located within a semiconductor substrate. The heater has a thermal output capable or raising the semiconductor substrate to a temperature of at least about 200° C. The heater may be used for thermally annealing trapped charges within dielectric layers within the semiconductor structure.
    Type: Grant
    Filed: April 23, 2010
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Ethan H. Cannon, Alvin W. Strong
  • Publication number: 20120058649
    Abstract: In a plasma torch unit, copper rods forming a coil as a whole are disposed inside copper rod inserting holes formed in a quartz block so that the quartz block is cooled by water flowing inside the copper rod inserting holes and cooling water pipes. A plasma ejection port is formed on the lowermost portion of the torch unit. While a gas is being supplied into a space inside an elongated chamber, high-frequency power is supplied to the copper rods to generate plasma in the space inside the elongated chamber so that the plasma is applied to a substrate.
    Type: Application
    Filed: August 23, 2011
    Publication date: March 8, 2012
    Inventors: Tomohiro OKUMURA, Ichiro NAKAYAMA, Hiroshi KAWAURA, Tetsuya YUKIMOTO
  • Patent number: 8110478
    Abstract: If the size of a single crystal silicon layer attached is not appropriate, even when a large glass substrate is used, the number of panels to be obtained cannot be maximized. Therefore, in the present invention, a substantially quadrangular single crystal semiconductor substrate is formed from a substantially circular single crystal semiconductor wafer, and a damaged layer is formed by irradiation with an ion beam into the single crystal semiconductor substrate. A plurality of the single crystal semiconductor substrates are arranged so as to be separated from each other over one surface of a supporting substrate. By thermal treatment, a crack is generated in the damaged layer and the single crystal semiconductor substrate is separated while a single semiconductor layer is left over the supporting substrate. After that, one or a plurality of display panels is manufactured from the single crystal semiconductor layer bonded to the supporting substrate.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: February 7, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideto Ohnuma, Jun Koyama
  • Patent number: 8105925
    Abstract: An improved insulated gate field effect device (60) is obtained by providing a substrate (20) desirably comprising a III-V semiconductor, having a further semiconductor layer (22) on the substrate (20) adapted to contain the channel (230) of the device (60) between spaced apart source-drain electrodes (421, 422) formed on the semiconductor layer (22). A dielectric layer (24) is formed on the semiconductor layer (22). A sealing layer (28) is formed on the dielectric layer (24) and exposed to an oxygen plasma (36). A gate electrode (482) is formed on the dielectric layer (24) between the source-drain electrodes (421, 422). The dielectric layer (24) preferably comprises gallium-oxide (25) and/or gadolinium-gallium oxide (26, 27), and the oxygen plasma (36) is preferably an inductively coupled plasma. A further sealing layer (44) of, for example, silicon nitride is desirably provided above the sealing layer (28).
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: January 31, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jonathan K. Abrokwah, Ravindranath Droopad, Matthias Passlack
  • Patent number: 8097959
    Abstract: A semiconductor device and method. One embodiment provides an integral array of first carriers and an integral array of second carries connected to the integral array of first carriers. First semiconductor chips are arranged on the integral array of first carriers. The integral array of second carriers is arranged over the first semiconductor chips.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: January 17, 2012
    Assignee: Infineon Technologies AG
    Inventors: Stefan Landau, Joachim Mahler, Thomas Wowra
  • Patent number: 8093142
    Abstract: There is provided a plasma processing device capable of forming a film in a favorable manner irrespective of deflection generated in an anode electrode and a cathode electrode in the case where an area of the electrodes is increased. A plasma processing device 100 includes a chamber 15, a gas introducing portion 28, an exhaust unit 29, and a high-frequency power supply unit 30. In the chamber 15, there are provided an anode electrode (first electrode) 4 having a flat-plate shape, a cathode electrode (second electrode) 12 having a flat-plate shape, and first supporting members 6 and second supporting members 5 for slidably supporting the two electrodes 4 and 12 in parallel with each other. The cathode electrode 12 is provided so as to face the anode electrode 4. The anode electrode 4 and the cathode electrode 12 are not fixed with screws or the like but are merely placed on the first supporting members 6 and the second supporting members 5.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: January 10, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Yusuke Fukuoka, Katsushi Kishimoto
  • Patent number: 8093157
    Abstract: Removing photoresist from a workpiece is described when a region of tungsten is exposed. A plasma is generated from a gas input consisting essentially of hydrogen gas and oxygen gas in a predetermined ratio. The plasma causes the photoresist to be removed from the workpiece while the region of tungsten is left substantially unmodified. The ratio of the hydrogen to oxygen can be adjusted to a particular value which causes the photoresist to be removed at about a maximum removal rate that corresponds to a minimum tungsten loss rate of about zero. Polysilicon oxidation in the presence of tungsten is described with little or no tungsten loss.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: January 10, 2012
    Assignee: Mattson Technology, Inc.
    Inventors: Li Diao, Songlin Xu
  • Publication number: 20110318919
    Abstract: A method for manufacturing semiconductor devices includes the steps of annealing an insulating layer and forming a barrier layer including a metal element over the insulating layer. The insulating layer includes a fluorocarbon (CFx) film. The barrier layer is formed by a high-temperature sputtering process after the annealing step.
    Type: Application
    Filed: January 22, 2010
    Publication date: December 29, 2011
    Applicant: Tokyo Electron Limited
    Inventors: Masahiro Horigome, Takuya Kurotori, Yasuo Kobayashi, Takaaki Matsuoka, Toshihisa Nozawa
  • Patent number: 8080484
    Abstract: A method for manufacturing a Group III nitride semiconductor layer according to the present invention includes a sputtering step of disposing a substrate and a target containing a Group III element in a chamber, introducing a gas for formation of a plasma in the chamber and forming a Group III nitride semiconductor layer added with Si as a dopant on the substrate by a reactive sputtering method, wherein a Si hydride is added in the gas for formation of a plasma.
    Type: Grant
    Filed: March 9, 2009
    Date of Patent: December 20, 2011
    Assignee: Showa Denko K.K.
    Inventors: Yasunori Yokoyama, Hisayuki Miki
  • Publication number: 20110300711
    Abstract: A method of patterning a substrate comprises providing an array of resist features defined by a first pitch and a first gap width between adjacent resist features. Particles are introduced into the array of resist features, wherein the array of resist features becomes hardened. The introduction of particles may cause a reduction in critical dimension of the resist features. Sidewalls are provided on side portions of hardened resist features. Subsequent to the formation of the sidewalls, the hardened resist features are removed, leaving an array of isolated sidewalls disposed on the substrate. The sidewall array provides a mask for double patterning of features in the substrate layers disposed below the sidewalls, wherein an array of features formed in the substrate has a second pitch equal to half that of the first pitch.
    Type: Application
    Filed: August 19, 2010
    Publication date: December 8, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Patrick M. Martin, Steven Carlson, Choong-Young Oh, Jung-Wook Park
  • Patent number: 8071451
    Abstract: A method of doping a semiconductor body is provided herein. In one embodiment, a semiconductor body is exposed to an activated hydrogen gas for a predetermined time period and temperature. The activated hydrogen gas that is configured to react with a surface of a semiconductor body. The activated hydrogen gas breaks existing bonds in the substrate (e.g., silicon-silicon bonds), thereby forming a reactive layer comprising weakened (e.g., silicon-hydrogen (Si—H) bonds, silanol (Si—OH) bonds) and/or dangling bonds (e.g., dangling silicon bonds). The dangling bonds, in addition to the easily broken weakened bonds, comprise reactive sites that extend into one or more surfaces of the semiconductor body. A reactant (e.g., n-type dopant, p-type dopant) may then be introduced to contact the reactive layer of the semiconductor body. The reactant chemically bonds to reactive sites comprised within the reactive layer, thereby resulting in a doped layer within the semiconductor body comprising the reactant.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: December 6, 2011
    Assignee: Axcelis Technologies, Inc.
    Inventor: Ivan L. Berry
  • Patent number: 8069817
    Abstract: Showerhead electrodes for a semiconductor material processing apparatus are disclosed. An embodiment of the showerhead electrodes includes top and bottom electrodes bonded to each other. The top electrode includes one or more plenums. The bottom electrode includes a plasma-exposed bottom surface and a plurality of gas holes in fluid communication with the plenum. Showerhead electrode assemblies including a showerhead electrode flexibly suspended from a top plate are also disclosed. The showerhead electrode assemblies can be in fluid communication with temperature-control elements spatially separated from the showerhead electrode to control the showerhead electrode temperature. Methods of processing substrates in plasma processing chambers including the showerhead electrode assemblies are also disclosed.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: December 6, 2011
    Assignee: Lam Research Corporation
    Inventors: Andreas Fischer, Rajinder Dhindsa
  • Patent number: 8039406
    Abstract: A method of filling a gap on a substrate comprises disposing the substrate, on which the gap is formed, on a susceptor in a chamber; applying a source power to the chamber to generate plasmas into the chamber; supplying a process gas into the chamber; filling a thin film into a gap by applying a first bias power to the susceptor, an amplitude of the first bias power being periodically modulated; stopping supply of the process gas and cutting off the first bias power; and extinguish the plasmas in the chamber.
    Type: Grant
    Filed: September 13, 2009
    Date of Patent: October 18, 2011
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Jeong Hoon Han, Jin Hyuk Yoo, Young Rok Kim
  • Patent number: 8039405
    Abstract: A method for producing a conductive oxide-deposited substrate including depositing a conductive oxide thin film over a substrate, subjecting the conductive oxide thin film to heat treatment by irradiating with a condensed laser beam so as to be thermally changed in part, and subjecting the conductive oxide thin film to etching treatment so as to remove a part which has not been thermally changed, wherein the conductive oxide thin film absorbs the laser beam, and at least a part of the conductive oxide thin film is an amorphous phase.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: October 18, 2011
    Assignee: Ricoh Company, Ltd.
    Inventors: Hiroshi Miura, Kohji Takeuchi, Nobuaki Toyoshima