Silicon Carbide Semiconductor Patents (Class 438/931)
  • Patent number: 8431935
    Abstract: A semiconductor substrate includes: a substrate having a single crystal silicon on at least one surface thereof; a buffer layer that is provided on the single crystal silicon and has at least one cobalt silicide layer primarily containing cobalt silicide; and a silicon carbide single crystal film provided on the buffer layer.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: April 30, 2013
    Assignee: Seiko Epson Corporation
    Inventor: Hiroyuki Shimada
  • Patent number: 8415241
    Abstract: A silicon carbide substrate having a substrate surface is prepared. An insulating film is formed to cover a part of the substrate surface. A contact electrode is formed on the substrate surface, so as to be in contact with the insulating film. The contact electrode contains Al, Ti, and Si atoms. The contact electrode includes an alloy film made of an alloy containing Al atoms and at least any of Si atoms and Ti atoms. The contact electrode is annealed such that the silicon carbide substrate and the contact electrode establish ohmic connection with each other. Thus, in a case where a contact electrode having Al atoms is employed, insulation reliability of the insulating film can be improved.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: April 9, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Shunsuke Yamada
  • Patent number: 8410488
    Abstract: Micropipe-free, single crystal, silicon carbide (SiC) and related methods of manufacture are disclosed. The SiC is grown by placing a source material and seed material on a seed holder in a reaction crucible of the sublimation system, wherein constituent components of the sublimation system including the source material, reaction crucible, and seed holder are substantially free from unintentional impurities. By controlling growth temperature, growth pressure, SiC sublimation flux and composition, and a temperature gradient between the source material and the seed material or the SiC crystal growing on the seed material during the PVT process, micropipe-inducing process instabilities are eliminated and micropipe-free SiC crystal is grown on the seed material.
    Type: Grant
    Filed: September 13, 2007
    Date of Patent: April 2, 2013
    Assignee: Cree, Inc.
    Inventors: Cem Basceri, Yuri Khlebnikov, Igor Khlebnikov, Cengiz Balkas, Murat N. Silan, Hudson McD. Hobgood, Calvin H. Carter, Jr., Vijay Balakrishna, Robert T. Leonard, Adrian R. Powell, Valeri T. Tsvetkov, Jason R. Jenny
  • Patent number: 8389348
    Abstract: The mechanisms of forming SiC crystalline regions on Si substrate described above enable formation and integration of GaN-based devices and Si-based devices on a same substrate. The SiC crystalline regions are formed by implanting carbon into regions of Si substrate and then annealing the substrate. An implant-stop layer is used to cover the Si device regions during formation of the SiC crystalline regions.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: March 5, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kong-Beng Thei, Jiun-Lei Jerry Yu, Chun Lin Tsai, Hsiao-Chin Tuan, Alex Kalnitsky
  • Patent number: 8389376
    Abstract: Methods are provided for forming a structure that includes an air gap. In one embodiment, a method is provided for forming a damascene structure including depositing a porous low dielectric constant layer by a method including reacting an organosilicon compound and a porogen-providing precursor, depositing a porogen-containing material, and removing at least a portion of the porogen-containing material, depositing an organic layer on the porous low dielectric constant layer by reacting the porogen-providing precursor, forming a feature definition in the organic layer and the porous low dielectric constant layer, filing the feature definition with a conductive material therein, depositing a mask layer on the organic layer and the conductive material disposed in the feature definition, forming apertures in the mask layer to expose the organic layer, removing a portion or all of the organic layer through the apertures, and forming an air gap adjacent the conductive material.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: March 5, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Alexandros T. Demos, Li-Qun Xia, Bok Hoen Kim, Derek R. Witty, Hichem M'Saad
  • Patent number: 8377812
    Abstract: The present invention provides a method of fabricating a metal oxide semiconductor field effect transistor. The method includes the steps of forming a source region on a silicon carbide layer and annealing the source region. A gate oxide layer is formed on the source region and the silicon carbide layer. The method further includes providing a gate electrode on the gate oxide layer and disposing a dielectric layer on the gate electrode and the gate oxide layer. The method further includes etching a portion of the dielectric layer and a portion of the gate oxide layer to form sidewalls on the gate electrode. A metal layer is disposed on the gate electrode, the sidewalls and the source region. The method further includes forming a gate contact and a source contact by subjecting the metal layer to a temperature of at least about 800° C. The gate contact and the source contact comprise a metal silicide. The distance between the gate contact and the source contact is less than about 0.6 ?m.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: February 19, 2013
    Assignee: General Electric Company
    Inventors: Kevin Sean Matocha, Gregory Keith Dudoff, William Gregg Hawkins, Zachary Matthew Stum, Stephen Daley Arthur, Dale Marius Brown
  • Patent number: 8367536
    Abstract: The present invention includes steps below: (a) forming, on a drift layer, a first ion implantation mask and a second ion implantation mask individually by photolithography to form a third ion implantation mask, the first ion implantation mask having a mask region corresponding to a channel region and having a first opening corresponding to a source region, the second ion implantation mask being positioned in contact with an outer edge of the first ion implantation mask and configured to form a base region; (b) implanting impurities of a first conductivity type from the first opening with an ion beam using the third ion implantation mask to form a source region in an upper layer part of the silicon carbide drift layer; (c) removing the first ion implantation mask after the formation of the source region; and (d) implanting impurities of a second conductivity type with an ion beam from a second opening formed in the second ion implantation mask after the removal of the first ion implantation mask to form a bas
    Type: Grant
    Filed: July 16, 2010
    Date of Patent: February 5, 2013
    Assignee: Mitsubishi Electric Corporation
    Inventors: Hiroshi Watanabe, Naruhisa Miura
  • Patent number: 8368083
    Abstract: At least part of a semiconductor layer or a semiconductor substrate includes a semiconductor region having a large energy gap. The semiconductor region having a large energy gap is preferably formed from silicon carbide and is provided in a position at least overlapping with a gate electrode provided with an insulating layer between the semiconductor region and the gate electrode. By making a structure in which the semiconductor region is included in a channel formation region, a dielectric breakdown voltage is improved.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: February 5, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Yasuyuki Arai
  • Patent number: 8324631
    Abstract: A SiC semiconductor substrate is disclosed which includes a SiC single crystal substrate, a nitrogen (N)-doped n-type SiC epitaxial layer in which nitrogen (N) is doped and a phosphorus (P)-doped n-type SiC epitaxial layer in which phosphorus (P) is doped. The nitrogen (N)-doped n-type SiC epitaxial layer and the phosphorus (P)-doped n-type SiC epitaxial layer are laminated on the silicon carbide single crystal substrate sequentially. The nitrogen (N)-doped n-type SiC epitaxial layer and the phosphorus (P)-doped n-type SiC epitaxial layer are formed by using two or more different dopants, for example, nitrogen and phosphorus, at the time of epitaxial growth. Basal plane dislocations in a SiC device can be reduced.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: December 4, 2012
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Yoshiyuki Yonezawa, Takeshi Tawara
  • Patent number: 8283674
    Abstract: MOSFET is provided with SiC film. SiC film has a facet on its surface, and the length of one period of the facet is 100 nm or more, and the facet is used as channel. Further, a manufacturing method of MOSFET includes: a step of forming SiC film; a heat treatment step of heat-treating SiC film in a state where Si is supplied on the surface of SiC film; and a step of forming the facet obtained on the surface of SiC film by the heat treatment step into a channel. Thereby, it is possible to sufficiently improve the characteristics.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: October 9, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Takeyoshi Masuda, Shinji Matsukawa
  • Patent number: 8252672
    Abstract: A method of manufacturing a silicon carbide semiconductor device having a silicon carbide layer, the method including a step of implanting at least one of Al ions, B ions and Ga ions having an implantation concentration in a range not lower than 1E19 cm?3 and not higher than 1E21 cm?3 from a main surface of the silicon carbide layer toward the inside of the silicon carbide layer while maintaining the temperature of the silicon carbide layer at 175° C. or higher, to form a p-type impurity layer; and forming a contact electrode whose back surface establishes ohmic contact with a front surface of the p-type impurity layer on the front surface of the p-type impurity layer.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: August 28, 2012
    Assignee: Mitsubishi Electric Corporation
    Inventors: Tomokatsu Watanabe, Sunao Aya, Naruhisa Miura, Keiko Sakai, Shohei Yoshida, Toshikazu Tanioka, Yukiyasu Nakao, Yoichiro Tarui, Masayuki Imaizumi
  • Patent number: 8242030
    Abstract: A method of electrically activating a structure having one or more graphene layers formed on a silicon carbide layer includes subjecting the structure to an oxidation process so as to form a silicon oxide layer disposed between the silicon carbide layer and a bottommost of the one or more graphene layers, thereby electrically activating the bottommost graphene layer.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: August 14, 2012
    Assignee: International Business Machines Corporation
    Inventors: James B. Hannon, Fenton R. McFeely, Satoshi Oida, John J. Yurkas
  • Patent number: 8207523
    Abstract: A method of fabrication of a metal oxide semiconductor field effect transistor is disclosed. At first, a substrate on which a gate structure is formed is provided. Afterward, a portion of the substrate is removed to form a first recess in the substrate at both ends of the gate structure. Additionally, a source/drain extension layer is deposited in the first recess and a plurality of spacers are formed at both ends of the gate structure. Subsequently, a portion of the source/drain extension and the substrate are removed to form a second recess in the source/drain extension and a portion of the substrate outside of the spacer. In addition, a source/drain layer is deposited in the second recess. Because the source/drain extension and the source/drain layer have specific materials and structures, short channel effect is improved and the efficiency of the metal oxide semiconductor field effect transistor is improved.
    Type: Grant
    Filed: April 26, 2006
    Date of Patent: June 26, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chen-Hua Tsai, Bang-Chiang Lan, Yu-Hsin Lin, Yi-Cheng Liu, Cheng-Tzung Tsai
  • Patent number: 8193537
    Abstract: An optically active material is used to create power devices and circuits having significant performance advantages over conventional methods for affecting optical control of power electronics devices and circuits. A silicon-carbide optically active material is formed by compensating shallow donors with the boron related D-center. The resulting material can be n-type or p-type but it is distinguished from other materials by the ability to induce persistent photoconductivity in it when illuminated by electromagnetic radiation with a photon energy in excess of the threshold energy required to photoexcite electrons from the D-center to allowed states close to the conduction band edge, which varies from polytype to polytype.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: June 5, 2012
    Assignee: SS SC IP, LLC
    Inventor: Michael S. Mazzola
  • Patent number: 8187958
    Abstract: The present invention provides a method of processing a substrate and a method of manufacturing a silicon carbide (SiC) substrate in which, when annealing processing is performed on a crystalline silicon carbide (SiC) substrate, the occurrence of surface roughness is suppressed. A substrate processing method according to an embodiment of the present invention includes a step of performing plasma irradiation on a single crystal silicon carbide (SiC) substrate (1) and a step of performing high temperature heating processing on the single crystal silicon carbide (SiC) substrate (1) in which the plasma irradiation is performed.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: May 29, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Masami Shibagaki, Masataka Satoh, Akemi Satoh, legal representative, Takahiro Sugimoto
  • Patent number: 8183573
    Abstract: An embodiment of a process for forming an interface between a silicon carbide (SiC) layer and a silicon oxide (SiO2) layer of a structure designed to conduct current is disclosed. A first epitaxial layer having a first doping level is homo-epitaxially grown on a substrate. The homo-epitaxial growth is preceded by growing, on the first epitaxial layer, a second epitaxial layer having a second doping level higher than the first doping level. Finally, the second epitaxial layer is oxidized so as to be totally removed. Thereby, a silicon oxide layer of high quality is formed, and the interface between the second epitaxial layer and silicon oxide has a low trap density.
    Type: Grant
    Filed: January 5, 2011
    Date of Patent: May 22, 2012
    Assignee: STMicroelectronics S.r.l.
    Inventors: Giovanni Abagnale, Dario Salinas, Sebastiano Ravesi
  • Patent number: 8178389
    Abstract: Provided is a monocrystalline silicon carbide ingot containing a dopant element, wherein a maximum concentration of the dopant element is less than 5×1017 atoms/cm3 and the maximum concentration is 50 times or less than that of a minimum concentration of the dopant element. Also provided is a monocrystalline silicon carbide wafer made by cutting and polishing the monocrystalline silicon carbide ingot, wherein a electric resistivity at room temperature of the wafer is 5×103 ?cm or more. Further provided is a method for manufacturing the monocrystalline silicon carbide including growing the monocrystalline silicon carbide on a seed crystal from a sublimation material by a sublimation method. The sublimation material includes a solid material containing a dopant element, and the specific surface of the solid material containing the dopant element is 0.5 m2/g or less.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: May 15, 2012
    Assignee: Nippon Steel Corporation
    Inventors: Masashi Nakabayashi, Tatsuo Fujimoto, Mitsuru Sawamura, Noboru Ohtani
  • Patent number: 8178972
    Abstract: A semiconductor device is obtained, in which excellent characteristics are achieved, the reliability is improved, and an SiC wafer can also be used for the fabrication. A plurality of Schottky-barrier-diode units 10 is formed on an SiC chip 9, and each of the units 10 has an external output electrode 4 independently of each other. Bumps 11 (the diameter is from several tens to several hundreds of ?m) are formed only on the external output electrodes 4 of non-defective units among the units 10 formed on the SiC chip 9, meanwhile bumps are not formed on the external output electrodes 4 of defective units in which the withstand voltage is too low, or the leakage current is too much.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: May 15, 2012
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Naoki Yutani
  • Patent number: 8134159
    Abstract: A semiconductor device according to one embodiment includes: a semiconductor layer formed on a semiconductor substrate; a gate electrode formed on the semiconductor layer via a gate insulating film; an impurity diffusion suppression layer formed between the semiconductor substrate and the semiconductor layer and including a C-containing Si-based crystal containing a first impurity, the C-containing Si-based crystal being configured to suppress diffusion of a second impurity having a p-type conductivity type, and the C-containing Si-based crystal with the first impurity having a function of suppressing generation of fixed charge in the C-containing Si-based crystal; and p-type source/drain regions formed in the semiconductor substrate, the impurity diffusion suppression layer and the semiconductor layer in sides of the gate electrode, the p-type source/drain region having an extension region in the semiconductor layer and containing the second impurity.
    Type: Grant
    Filed: June 10, 2009
    Date of Patent: March 13, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akira Hokazono
  • Patent number: 8133787
    Abstract: A SiC semiconductor device having a MOS structure includes: a SiC substrate; a channel region providing a current path; first and second impurity regions on upstream and downstream sides of the current path, respectively; and a gate on the channel region through the gate insulating film. The channel region for flowing current between the first and second impurity regions is controlled by a voltage applied to the gate. An interface between the channel region and the gate insulating film has a hydrogen concentration equal to or greater than 4.7×1020 cm?3. The interface provides a channel surface having a (000-1)-orientation surface.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: March 13, 2012
    Assignee: Denso Corporation
    Inventor: Takeshi Endo
  • Patent number: 8133789
    Abstract: A silicon carbide power MOSFET having a drain region of a first conductivity type, a base region of a second conductivity type above the drain region, and a source region of the first conductivity type adjacent an upper surface of the base region, the base region including a channel extending from the source region through the base region adjacent a gate interface surface thereof, the channel having a length less than approximately 0.6 ?m, and the base region having a doping concentration of the second conductivity type sufficiently high that the potential barrier at the source end of the channel is not lowered by the voltage applied to the drain. The MOSFET includes self-aligned base and source regions as well as self-aligned ohmic contacts to the base and source regions.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: March 13, 2012
    Assignee: Purdue Research Foundation
    Inventors: James A. Cooper, Maherin Matin
  • Patent number: 8124509
    Abstract: The porosity of a diamond film may be increased and its dielectric constant lowered by exposing a film containing sp3 hybridization to ion implantation. The implantation produces a greater concentration of sp2 hybridizations. The sp2 hybridizations may then be selectively etched, for example, using atomic hydrogen plasma to increase the porosity of the film. A series of layers may be deposited and successively treated in the same fashion to build up a composite, porous diamond film.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: February 28, 2012
    Assignee: Intel Corporation
    Inventors: Kramadhati V. Ravi, Yuli Chakk
  • Patent number: 8119539
    Abstract: Methods of forming oxide layers on silicon carbide layers are disclosed, including placing a silicon carbide layer in a chamber such as an oxidation furnace tube that is substantially free of metallic impurities, heating an atmosphere of the chamber to a temperature of about 500° C. to about 1300° C., introducing atomic oxygen in the chamber, and flowing the atomic oxygen over a surface of the silicon carbide layer to thereby form an oxide layer on the silicon carbide layer. In some embodiments, introducing atomic includes oxygen providing a source oxide in the chamber and flowing a mixture of nitrogen and oxygen gas over the source oxide. The source oxide may comprise aluminum oxide or another oxide such as manganese oxide. Some methods include forming an oxide layer on a silicon carbide layer and annealing the oxide layer in an atmosphere including atomic oxygen.
    Type: Grant
    Filed: July 14, 2009
    Date of Patent: February 21, 2012
    Assignee: Cree, Inc.
    Inventors: Mrinal K. Das, Anant K. Agarwal, John W. Palmour, Dave Grider
  • Patent number: 8105927
    Abstract: A method for manufacturing an ion implantation mask is disclosed which includes the steps of: forming an oxide film as a protective film over the entire surface of a semiconductor substrate; forming a thin metal film over the oxide film; and forming an ion-inhibiting layer composed of an ion-inhibiting metal over the thin metal film. The obtained ion implantation mask is used to form a deeper selectively electroconductive region.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: January 31, 2012
    Assignees: Honda Motor Co., Ltd., Shindengen Electric Manufacturing Co., Ltd.
    Inventors: Ken-ichi Nonaka, Hideki Hashimoto, Seiichi Yokoyama, Hiroaki Iwakuro, Koichi Nishikawa, Masaaki Shimizu, Yusuke Fukuda
  • Patent number: 8088694
    Abstract: A method of forming a multiple layer passivation film on a semiconductor device surface comprises placing a semiconductor device in a chemical vapor deposition reactor, introducing a nitrogen source into the reactor, introducing a carbon source into the reactor, depositing a layer of carbon nitrogen on the semiconductor device surface, introducing a silicon source into the reactor after the carbon source, and depositing a layer of silicon carbon nitrogen on the carbon nitrogen layer. A semiconductor device incorporating the multiple layer passivation film is also described.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: January 3, 2012
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventor: Gary R. Trott
  • Patent number: 8084278
    Abstract: A wafer WF is mounted in a substrate holder, and the substrate holder is placed in a film forming furnace. The film forming furnace is evacuated by a vacuum pump through a gas discharge part to remove remaining oxygen as completely as possible. Then, a temperature in the film forming furnace is heated to a range of 800° C. to 950° C. under reduced pressure while an inert gas such as Ar or helium (He) is being introduced through a gas introduction part. When the temperature reaches this temperature range, an inflow of the inert gas is stopped. Vaporized ethanol is introduced as a source gas into the film forming furnace through the gas introduction part, thus forming a graphite film on an entire surface of the wafer WF.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: December 27, 2011
    Assignee: Mitsubishi Electric Corporation
    Inventors: Yukio Uda, Koichi Sekiya, Kazuo Kobayashi, Yoichiro Tarui
  • Patent number: 8071482
    Abstract: A manufacturing method for a silicon carbide semiconductor device is disclosed. It includes an etching method in which an Al film and Ni film are laid on an SiC wafer in this order and wet-etched, whereby a two-layer etching mask is formed in which Ni film portions overhang Al film portions. Mesa grooves are formed by dry etching by using this etching mask.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: December 6, 2011
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Yasuyuki Kawada
  • Patent number: 8043937
    Abstract: It is an object to provide a novel manufacturing method of a semiconductor substrate containing silicon carbide. The method for manufacturing a semiconductor device includes the steps of performing carbonization treatment on a surface of a silicon substrate to form a silicon carbide layer; adding ions to the silicon substrate to form an embrittlement region in the silicon substrate; bonding the silicon substrate and a base substrate with insulating layers interposed between the silicon substrate and the base substrate; heating the silicon substrate and separating the silicon substrate at the embrittlement region to form a stacked layer of the silicon carbide layer and a silicon layer over the base substrate with the insulating layers interposed between the base substrate and the stacked layer; and removing the silicon layer to expose a surface of the silicon carbide layer.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: October 25, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Toru Takayama
  • Patent number: 8030662
    Abstract: There is offered a switching resistance RAM that is very much reduced in an occupied area and is highly integrated. Memory cells CEL11-CEL14 are formed corresponding to four intersections of word lines WL0 and WL1 and bit lines BL0 and BL1. Each of the memory cells CEL11-CEL14 are composed of a switching layer 13 formed on a surface of an N+ type Si layer 11. The switching layer 13 is electrically connected to the bit line BL0 or BL1 thereabove through an electrode 14. The switching layer 13 is composed of a SiC layer 13A stacked on the surface of the N+ type Si layer 11 and a Si oxide layer 13B stacked on the SiC layer 13A. A top surface of the Si oxide layer 13B, that is the uppermost layer of the switching layer 13, is electrically connected to the corresponding bit line BL0 or BL1.
    Type: Grant
    Filed: September 8, 2008
    Date of Patent: October 4, 2011
    Assignee: National University Corporation Tokyo University of Agriculture and Technology
    Inventor: Yoshiyuki Suda
  • Patent number: 8012818
    Abstract: A method of manufacturing a semiconductor device based on a SiC substrate involves forming an oxide layer on a Si-terminated face of the SiC substrate at an oxidation rate sufficiently high to achieve a near interface trap density below 5×1011 cm?2; and annealing the oxidized SiC substrate in a hydrogen-containing environment, to passivate deep traps formed in the oxide-forming step, thereby enabling manufacturing of a SiC-based MOSFET having improved inversion layer mobility and reduced threshold voltage. It has been found that the density of DTs increases while the density of NITs decreases when the Si-face of the SiC substrate is subject to rapid oxidation. The deep traps formed during the rapid oxidation can be passivated by hydrogen annealing, thus leading to a significantly decreased threshold voltage for a semiconductor device formed on the oxide.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: September 6, 2011
    Assignee: NXP B.V.
    Inventors: Thomas C. Roedle, Elnar O. Sveinbjornsson, Halldor O. Olafsson, Gudjon I. Gudjonsson, Carl F. Allerstam
  • Patent number: 8013343
    Abstract: SiC single crystal that includes a first dopant functioning as an acceptor, and a second dopant functioning as a donor is provided, where the content of the first dopant is no less than 5×1015 atoms/cm3, the content of the second dopant is no less than 5×1015 atoms/cm3, and the content of the first dopant is greater than the content of the second dopant. A manufacturing method for silicon carbide single crystal is provided with the steps of: fabricating a raw material by mixing a metal boride with a material that includes carbon and silicon; vaporizing the raw material; generating a mixed gas that includes carbon, silicon, boron and nitride; and growing silicon carbide single crystal that includes boron and nitrogen on a surface of a seed crystal substrate by re-crystallizing the mixed gas on the surface of the seed crystal substrate.
    Type: Grant
    Filed: October 27, 2005
    Date of Patent: September 6, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Hiromu Shiomi, Hiroyuki Kinoshita
  • Patent number: 8008668
    Abstract: LED devices and methods for making such devices are provided. One such method may include forming epitaxially a substantially single crystal SiC layer on a substantially single crystal Si wafer, forming epitaxially a substantially single crystal diamond layer on the SiC layer, doping the diamond layer to form a conductive diamond layer, removing the Si wafer to expose the SiC layer opposite to the conductive diamond layer, forming epitaxially a plurality of semiconductor layers on the SiC layer such that at least one of the semiconductive layers contacts the SiC layer, and coupling an n-type electrode to at least one of the semiconductor layers such that the plurality of semiconductor layers is functionally located between the conductive diamond layer and the n-type electrode.
    Type: Grant
    Filed: May 3, 2010
    Date of Patent: August 30, 2011
    Inventor: Chien-Min Sung
  • Patent number: 8008180
    Abstract: A method of forming an Ohmic contact on a P-type 4H—SiC and an Ohmic contact formed by the same are provided. A method of forming an Ohmic contact on a P-type 4H—SiC substrate including a deposition step of successively depositing a 1 to 60 nm thick first Al layer, Ti layer, and second Al layer on a P-type 4H—SiC substrate and an alloying step of forming an alloy layer between the SiC substrate and the Ti layer through the first Al layer by heat treatment in a nonoxidizing atmosphere. An Ohmic contact on a P-type 4H—SiC substrate formed by this method is also provided.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: August 30, 2011
    Assignees: Toyota Jidosha Kabushiki Kaisha, Osaka University
    Inventors: Yasuo Takahashi, Masakatsu Maeda, Akinori Seki, Akira Kawahashi, Masahiro Sugimoto
  • Patent number: 8003497
    Abstract: A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga1-xMnxN (x=0.07) were synthesized. The nanowires, which have diameters of ˜10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: August 23, 2011
    Assignee: The Regents of the University of California
    Inventors: Peidong Yang, Heonjin Choi, Sangkwon Lee, Rongrui He, Yanfeng Zhang, Tevye Kuykendal, Peter Pauzauskie
  • Patent number: 7994027
    Abstract: The present invention grows nanostructures using a microwave heating-based sublimation-sandwich SiC polytype growth method comprising: creating a sandwich cell by placing a source wafer parallel to a substrate wafer, leaving a small gap between the source wafer and the substrate wafer; placing a microwave heating head around the sandwich cell to selectively heat the source wafer to a source wafer temperature and the substrate wafer to a substrate wafer temperature; creating a temperature gradient between the source wafer temperature and the substrate wafer temperature; sublimating Si- and C-containing species from the source wafer, producing Si- and C-containing vapor species; converting the Si- and C-containing vapor species into liquid metallic alloy nanodroplets by allowing the metalized substrate wafer to absorb the Si- and C-containing vapor species; and growing nanostructures on the substrate wafer once the alloy droplets reach a saturation point for SiC.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: August 9, 2011
    Assignees: George Mason Intellectual Properties, Inc., NIST
    Inventors: Yonglai Tian, Rao V. Mulpuri, Siddharth G. Sundaresan, Albert V. Davydov
  • Patent number: 7935628
    Abstract: A low on-resistance silicon carbide semiconductor device is provided to include an ohmic electrode of low contact resistance and high adhesion strength formed on a lower surface of silicon carbide. Specifically, the silicon carbide semiconductor device includes at least an insulating film, formed on an upper surface of a silicon carbide substrate, and includes at least an ohmic electrode, formed of an alloy comprising nickel and titanium, or formed of a silicide comprising nickel and titanium, and which is formed on the lower surface of the silicon carbide substrate.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: May 3, 2011
    Assignee: National Institute for Advanced Industrial Science and Technology
    Inventors: Shinsuke Harada, Makoto Katou, Kenji Fukuda, Tsutomu Yatsuo
  • Patent number: 7915143
    Abstract: A method of reversing Shockley stacking fault expansion includes providing a bipolar or a unipolar SiC device exhibiting forward voltage drift caused by Shockley stacking fault nucleation and expansion. The SiC device is heated to a temperature above 150° C. A current is passed via forward bias operation through the SiC device sufficient to induce at least a partial recovery of the forward bias drift.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: March 29, 2011
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Joshua D. Caldwell, Robert E Stahlbush, Karl D Hobart, Marko J Tadjer, Orest J Glembocki
  • Patent number: 7910411
    Abstract: A semiconductor device includes a semiconductor substrate, a cell region, an outer peripheral region, a field plate, an outermost peripheral ring, outer peripheral region layer, an insulator film, and a Zener diode. The semiconductor substrate has a superjunction structure. The outer peripheral region is disposed at an outer periphery of the cell region. The Zener diode is disposed on the insulator film for electrically connecting the field plate with the outermost peripheral ring. The Zener diode has a first conductivity type region and a second conductivity type region that are alternately arranged in a direction from the cell region to the outer peripheral region.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: March 22, 2011
    Assignee: DENSO CORPORATION
    Inventor: Takeshi Miyajima
  • Patent number: 7897498
    Abstract: The present invention is a method of manufacturing a semiconductor device from a layered body including: a semiconductor substrate; a high dielectric film formed on the semiconductor substrate; and an SiC-based film formed on a position upper than the high dielectric film, the SiC-based film having an anti-reflective function and a hardmask function. The present invention comprises a plasma-processing step for plasma-processing the SiC-based film and the high dielectric film to modify the SiC-based film and the high dielectric film by an action of a plasma; and a cleaning step for wet-cleaning the SiC-based film and the high dielectric film modified in the plasma-processing step to collectively remove the SiC-based film and the high dielectric film.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: March 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Glenn Gale, Yoshihiro Hirota, Yusuke Muraki, Genji Nakamura, Masato Kushibiki, Naoki Shindo, Akitaka Shimizu, Shigeo Ashigaki, Yoshihiro Kato
  • Patent number: 7888256
    Abstract: An embodiment of a process for forming an interface between a silicon carbide (SiC) layer and a silicon oxide (SiO2) layer of a structure designed to conduct current is disclosed. A first epitaxial layer having a first doping level is homo-epitaxially grown on a substrate. The homo-epitaxial growth is preceded by growing, on the first epitaxial layer, a second epitaxial layer having a second doping level higher than the first doping level. Finally, the second epitaxial layer is oxidized so as to be totally removed. Thereby, a silicon oxide layer of high quality is formed, and the interface between the second epitaxial layer and silicon oxide has a low trap density.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: February 15, 2011
    Assignee: STMicroelectronics, S.r.l.
    Inventors: Giovanni Abagnale, Dario Salinas, Sebastiano Ravesi
  • Patent number: 7883949
    Abstract: Methods of forming a p-channel MOS device in silicon carbide include forming an n-type well in a silicon carbide layer, and implanting p-type dopant ions to form a p-type region in the n-type well at a surface of the silicon carbide layer and at least partially defining a channel region in the n-type well adjacent the p-type region. A threshold adjustment region is formed in the channel region. The implanted ions are annealed in an inert atmosphere at a temperature greater than 1650° C. A gate oxide layer is formed on the channel region, and a gate is formed on the gate oxide layer. A silicon carbide-based transistor includes a silicon carbide layer, an n-type well in the silicon carbide layer, and a p-type region in the n-type well at a surface of the silicon carbide layer and at least partially defining a channel region in the n-type well adjacent the p-type region.
    Type: Grant
    Filed: April 26, 2007
    Date of Patent: February 8, 2011
    Assignee: Cree, Inc
    Inventors: Mrinal Kanti Das, Qingchun Zhang, Sei-Hyung Ryu
  • Patent number: 7879705
    Abstract: A method is set forth of forming an ohmic electrode having good characteristics on a SiC semiconductor layer. In the method, a Ti-layer and an Al-layer are formed on a surface of the SiC substrate. The SiC substrate having the Ti-layer and the Al-layer is maintained at a temperature that is higher than or equal to a first temperature and lower than a second temperature until all Ti in the Ti-layer has reacted with Al. The first temperature is the minimum temperature of a temperature zone at which the Ti reacts with the Al to form Al3Ti, and the second temperature is the minimum temperature of a temperature zone at which the Al3Ti reacts with SiC to form Ti3SiC2. As a result of this maintaining of temperature step, an Al3Ti-layer is formed on the surface of the SiC substrate. The method also comprises further heating the SiC substrate having the Al3Ti-layer to a temperature that is higher than the second temperature.
    Type: Grant
    Filed: September 21, 2007
    Date of Patent: February 1, 2011
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Akira Kawahashi, Masahiro Sugimoto, Akinori Seki, Masakatsu Maeda, Yasuo Takahashi
  • Patent number: 7880763
    Abstract: A semiconductor device is obtained, in which excellent characteristics are achieved, the reliability is improved, and an SiC wafer can also be used for the fabrication. A plurality of Schottky-barrier-diode units 10 is formed on an SiC chip 9, and each of the units 10 has an external output electrode 4 independently of each other. Bumps 11 (the diameter is from several tens to several hundreds of ?m) are formed only on the external output electrodes 4 of non-defective units among the units 10 formed on the SiC chip 9, meanwhile bumps are not formed on the external output electrodes 4 of defective units in which the withstand voltage is too low, or the leakage current is too much.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: February 1, 2011
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Naoki Yutani
  • Patent number: 7875545
    Abstract: A method of producing an ohmic contact and a resulting ohmic contact structure are disclosed. The method includes the steps of forming a deposited film of nickel and silicon on a silicon carbide surface at a temperature below which either element will react with silicon carbide and in respective proportions so that the atomic fraction of silicon in the deposited film is greater than the atomic fraction of nickel, and heating the deposited film of nickel and silicon to a temperature at which nickel-silicon compounds will form with an atomic fraction of silicon greater than the atomic fraction of nickel but below the temperature at which either element will react with silicon carbide. The method can further include the step of annealing the nickel-silicon compound to a temperature higher than the heating temperature for the deposited film, and within a region of the phase diagram at which free carbon does not exist.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: January 25, 2011
    Assignee: Cree, Inc.
    Inventors: Allan Ward, III, Jason Patrick Henning, Helmut Hagleitner, Keith Dennis Wieber
  • Patent number: 7867836
    Abstract: A method for manufacturing a junction semiconductor device having a drain region including a low-resistance layer of a first conductive type formed on one surface of a semiconductor crystal, a source region including a low-resistance layer of a first conductive type formed on the other surface of the semiconductor crystal, a gate region of a second conductive type formed on the periphery of the source region, a high-resistance layer of a first conductive type between the source region and the drain region, and a recombination-inhibiting semiconductor layer of a second conductive type provided in the vicinity of the surface of the semiconductor crystal between the gate region and the source region.
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: January 11, 2011
    Assignee: Honda Motor Co., Ltd.
    Inventors: Ken-ichi Nonaka, Hideki Hashimoto, Seiichi Yokoyama, Kensuke Iwanaga, Yoshimitsu Saito
  • Patent number: 7867882
    Abstract: A method of manufacturing an SiC semiconductor device includes the steps of ion implanting a dopant at least in a part of a surface of an SiC single crystal, forming an Si film on the surface of the ion-implanted SiC single crystal, and heating the SiC single crystal on which the Si film is formed to a temperature not less than a melting temperature of the Si film.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: January 11, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Kazuhiro Fujikawa, Takeyoshi Masuda
  • Patent number: 7851343
    Abstract: A method of forming an ohmic layer for a semiconductor device includes forming a metal layer on a Silicon Carbide (SiC) layer and forming an ablation capping layer on the metal layer. Laser light is impinged through the ablation capping layer to form a metal-SiC material.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: December 14, 2010
    Assignee: Cree, Inc.
    Inventors: Eric Mayer, Marc Alberti
  • Patent number: 7842537
    Abstract: A stressed semiconductor using carbon is provided. At least one carbon layer containing diamond is formed either below a semiconductor layer or above a semiconductor device. The carbon layer induces stress in the semiconductor layer, thereby increasing carrier mobility in the device channel region. The carbon layer may be selectively formed or patterned to localize the induced stress.
    Type: Grant
    Filed: February 14, 2005
    Date of Patent: November 30, 2010
    Assignee: Intel Corporation
    Inventors: Kramadhati V. Ravi, Brian S. Doyle
  • Patent number: 7829401
    Abstract: A method for fabricating a MOSFET (e.g., a PMOS FET) includes providing a semiconductor substrate having surface characterized by a (110) surface orientation or (110) sidewall surfaces, forming a gate structure on the surface, and forming a source extension and a drain extension in the semiconductor substrate asymmetrically positioned with respect to the gate structure. An ion implantation process is performed at a non-zero tilt angle. At least one spacer and the gate electrode mask a portion of the surface during the ion implantation process such that the source extension and drain extension are asymmetrically positioned with respect to the gate structure by an asymmetry measure.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: November 9, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Bin Yang, Andrew M. Waite, Scott Luning
  • Patent number: 7829416
    Abstract: A gate electrode 18 formed on a silicon carbide substrate 11 includes a silicon lower layer 18A and a silicide upper layer 18B provided on the silicon lower layer 18A, the silicide upper layer 18B being made of a compound of a first metal and silicon. A source electrode 1as formed on the surface of the silicon carbide substrate 11 and in contact with an n type source region and a p+ region contains second metal silicide different from the first metal silicide. Side faces of the silicon lower layer 18A are covered with an insulator.
    Type: Grant
    Filed: August 1, 2008
    Date of Patent: November 9, 2010
    Assignee: Panasonic Corporation
    Inventors: Chiaki Kudou, Kazuya Utsunomiya, Masashi Hayashi