Germanium Or Silicon Or Ge-si On Iii-v Patents (Class 438/933)
  • Patent number: 7749847
    Abstract: A p-type field effect transistor (PFET) and an n-type field effect transistor (NFET) are formed by patterning of a gate dielectric layer, a thin silicon layer, and a silicon-germanium alloy layer. After formation of the source/drain regions and gate spacers, silicon germanium alloy portions are removed from gate stacks. A dielectric layer is formed and patterned to cover an NFET gate electrode, while exposing a thin silicon portion for a PFET. Germanium is selectively deposited on semiconductor surfaces including the exposed silicon portion. The dielectric layer is removed and a metal layer is deposited and reacted with underlying semiconductor material to form a metal silicide for a gate electrode of the NFET, while forming a metal silicide-germanide alloy for a gate electrode of the PFET.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Jack O. Chu, Young-Hee Kim
  • Patent number: 7732336
    Abstract: A method of manufacturing an integrated circuit (IC) utilizes a shallow trench isolation (STI) technique. The shallow trench isolation technique is used in strained silicon (SMOS) process. The strained material is formed after the trench is formed. The process can be utilized on a compound semiconductor layer above a box layer.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: June 8, 2010
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Qi Xiang, James N. Pan, Jung-Suk Goo
  • Patent number: 7732308
    Abstract: The invention relates to a method for depositing at least one semiconductor layer on at least one substrate in a processing chamber (2). Said semiconductor layer is composed of several components which are evaporated by non-continuously injecting a liquid starting material (3) or a starting material (3) dissolved in a liquid into a tempered evaporation chamber (4) with the aid of one respective injector unit (5) while said vapor is fed to the processing chamber by means of a carrier gas (7). The inventive method is characterized in that the mass flow rate parameters, such as the preliminary injection pressure, the injection frequency, the pulse/pause ratio, and the phase relation between the pulses/pauses and the pulses/pauses of the other injector unit(s), which determine the progress of the mass flow rate of a first silicon-containing starting material and a germanium-containing second starting material (3) through the associated injector unit (5), are individually adjusted or varied.
    Type: Grant
    Filed: February 22, 2005
    Date of Patent: June 8, 2010
    Assignee: Aixtron, Inc.
    Inventors: Marcus Schumacher, Peter Baumann, Johannes Lindner, Timothy McEntee
  • Patent number: 7700941
    Abstract: A surface-emitting semiconductor laser includes an active zone, the active zone having a p-n-junction and surrounded by a first n-doped semiconductor layer and at least one p-doped semiconductor layer; a tunnel contact layer on the p-side of the active zone; an n-doped current-carrying layer that covers the tunnel contact layer, the n-doped current-carrying layer comprising a raised portion; and a structured layer having an optical thickness at least equal to the optical thickness of the current-carrying layer in the region of the raised portion, wherein the structured layer is disposed on the current-carrying layer within a maximum distance of 2 ?m from the raised portion.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: April 20, 2010
    Assignee: Vertilas GmbH
    Inventor: Markus Ortsiefer
  • Patent number: 7682952
    Abstract: A structure and method of forming same, comprising a low threading density alloy graded layer, deposited according to a deposition temperature profile in correspondence with increasing alloy composition. In one embodiment, a first substantially relaxed alloy graded layer is deposited while varying a deposition temperature according to a first temperature profile. A second substantially relaxed alloy graded layer is deposited over the first graded layer while varying a deposition temperature according to a second temperature profile. Preferably, the minimum signed rate of change of the second temperature profile is less than the maximum signed rate of change of the first temperature profile.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: March 23, 2010
    Assignee: Massachusetts Institute of Technology
    Inventors: David Michael Isaacson, Eugene A. Fitzgerald
  • Patent number: 7674669
    Abstract: Methods, devices and systems for a FinFET are provided. One method embodiment includes forming a FinFET by forming a relaxed silicon germanium (Si1-XGeX) body region for a fully depleted Fin field effect transistor (FinFET) having a body thickness of at least 10 nanometers (nm) for a process design rule of less than 25 nm. The method also includes forming a source and a drain on opposing ends of the body region, wherein the source and the drain are formed with halo ion implantation and forming a gate opposing the body region and separated therefrom by a gate dielectric.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: March 9, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Hussein I. Hanafi
  • Patent number: 7642197
    Abstract: According to various embodiments, there are eSiGe CMOS devices and methods of making them. The method of making a substrate for a CMOS device can include providing a DSB silicon substrate including a first bonded to a second layer, wherein each layer has a (100) oriented surface and a first direction and a second direction and the first direction of the first layer is approximately aligned with the second direction of the second layer. The method can also include performing amorphization on a selected region of the first layer to form a localized amorphous silicon region and recrystallizing the localized amorphous silicon region across the interface using the second layer as a template, such that the first direction of the first layer in the selected region is approximately aligned with the first direction of the second layer.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: January 5, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Periannan Chidambaram, Angelo Pinto
  • Patent number: 7611974
    Abstract: A process for fabricating a multilayer structure is provided as well as the structure itself. In accordance with one embodiment, the process includes growing a growth layer on a silicon substrate by epitaxial growth, forming at least one pattern from the growth layer, depositing an oxide layer on the silicon substrate, transferring a silicon active layer onto the oxide layer, forming a cavity in the silicon active layer oxide layer above the pattern, and growing a III-V material in the cavity.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: November 3, 2009
    Assignee: S.O.I. Tec Silicon on Insulator Technologies
    Inventor: Fabrice Letertre
  • Patent number: 7592213
    Abstract: Enhancement mode transistors are described where a Group III-N compound is used in the source and drain regions to place tensile strain on the channel. The source and drain regions may be raised or embedded, and fabricated in conjunction with recessed or raised compression regions for p channel transistors.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: September 22, 2009
    Assignee: Intel Corporation
    Inventors: Suman Datta, Justin K. Brask, Been-Yih Jin, Jack T. Kavalieros, Mantu K. Hudait
  • Patent number: 7592619
    Abstract: A method of forming an epitaxial layer of uniform thickness is provided to improve surface flatness. A substrate is first provided and a Si base layer is then formed on the substrate by epitaxy. A Si—Ge layer containing 5 to 10% germanium is formed on the Si base layer by epitaxy to normalize the overall thickness of the Si base layer and the Si—Ge layer containing 5 to 10% germanium.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: September 22, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pang-Yen Tsai, Liang-Gi Yao, Chun-Chieh Lin, Wen-Chin Lee, Shih-Chang Chen
  • Patent number: 7554138
    Abstract: The invention relates to a method of manufacturing a semiconductor strained layer and to a method of manufacturing a semiconductor device (10) in which a semiconductor body (11) of silicon is provided, at a surface thereof, with a first semiconductor layer (1) having a lattice of a mixed crystal of silicon and germanium and a thickness such that the lattice is substantially relaxed, and on top of the first semiconductor layer (1) a second semiconductor layer (2) is provided comprising strained silicon, in which layer (2) a part of the semiconductor device (10) is formed, and wherein measures are taken to avoid reduction of the effective thickness of the strained silicon layer (2) during subsequent processing needed to form the semiconductor device (10), said measures comprising the use of a third layer (3) having a lattice of a mixed crystal of silicon and germanium.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: June 30, 2009
    Assignee: NXP B.V.
    Inventors: Philippe Meunier-Beillard, Claire Ravit
  • Patent number: 7553774
    Abstract: In a method of fabricating a semiconductor optical device, insulating structures for an alignment mark for use in electron beam exposure are formed on a primary surface of a first group III-V semiconductor region. After forming the insulating structures, a second group III-V semiconductor region is grown on the first group III-V semiconductor region to form an epitaxial wafer. The height of the insulating structures is larger than thickness of the second group III-V semiconductor region. After forming the second group III-V semiconductor region, alignment for the electron beam exposure is performed. After the alignment, a resist is exposed to an electron beam to form a resist mask. The resist mask has a pattern for a diffraction grating, and the resist is on the epitaxial wafer.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: June 30, 2009
    Assignee: Sumitomo Electric Industries Ltd.
    Inventor: Toshio Nomaguchi
  • Patent number: 7544585
    Abstract: Provided is a strained SOI structure and a method of manufacturing the strained SOI structure. The strained SOI structure includes an insulating substrate, a SiO2 layer formed on the insulating substrate, and a strained silicon layer formed on the SiO2 layer.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: June 9, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-soo Park, Wenxu Xianyu, Takashi Noguchi
  • Patent number: 7521365
    Abstract: In one example, a method of epitaxially forming a silicon-containing material on a substrate surface is presented which includes positioning a substrate into a process chamber. The substrate has a monocrystalline surface and at least a second surface, such as an amorphous surface and/or a polycrystalline surface. The substrate is exposed to a deposition gas to deposit an epitaxial layer on the monocrystalline surface and a polycrystalline layer on the second surface. The deposition gas preferably contains a silicon source and at least a second elemental source, such as a germanium source, a carbon source and/or combinations thereof. Thereafter, the method further provides exposing the substrate to an etchant gas to etch the polycrystalline layer and the epitaxial layer in a manner such that the polycrystalline layer is etched at a faster rate than the epitaxial layer.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: April 21, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yihwan Kim, Arkadii V. Samoilov
  • Patent number: 7510932
    Abstract: A semiconductor device having a field effect transistor and a method of forming the same are provided. The semiconductor device preferably includes a device active pattern disposed on a predetermined region of the substrate. The gate electrode preferably crosses over the device active pattern, interposed by a gate insulation layer. A support pattern is preferably interposed between the device active pattern and the substrate. The support pattern can be disposed under the gate electrode. A filling insulation pattern is preferably disposed between the device active pattern and the filling insulation pattern. The filling insulation pattern may be disposed under the source/drain region. A device active pattern under the gate electrode is preferably formed of a strained silicon having a lattice width wider than a silicon lattice.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: March 31, 2009
    Assignee: SAms Samsung Electronics Co., Ltd.
    Inventors: Chang-Woo Oh, Dong-Gun Park, Dong-Won Kim, Jeong-Dong Choe
  • Patent number: 7501331
    Abstract: The present invention provides for a low-temperature method to crystallize a silicon-germanium film. Metal-induced crystallization of a deposited silicon film can serve to reduce the temperature required to crystallize the film. Increasing germanium content in a silicon-germanium alloy further decreases crystallization temperature. By using metal-induced crystallization to crystallize a deposited silicon-germanium film, temperature can be reduced substantially. In preferred embodiments, for example in a monolithic three dimensional array of stacked memory levels, reduced temperature allows the use of aluminum metallization. In some embodiments, use of metal-induced crystallization in a vertically oriented silicon-germanium diode having conductive contacts at the top and bottom end is be particularly advantageous, as increased solubility of the metal catalyst in the contact material will reduce the risk of metal contamination of the diode.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: March 10, 2009
    Assignee: Sandisk 3D LLC
    Inventor: S. Brad Herner
  • Patent number: 7501329
    Abstract: One aspect of this disclosure relates to a method for creating proximity gettering sites in a semiconductor wafer. In various embodiments of this method, a relaxed silicon germanium region is formed to be proximate to a device region on the semiconductor wafer. The relaxed silicon germanium region generates defects to getter impurities from the device region. In various embodiments, an ultra high vacuum chemical vapor deposition (UHV CVD) process is performed to epitaxially form the relaxed silicon germanium gettering region. In various embodiments, forming the relaxed silicon germanium gettering region includes implanting germanium ions into a silicon substrate with a desired dose and energy to form a silicon region containing germanium ions and heat treating the substrate to regrow a crystalline silicon layer over a resulting silicon germanium layer using a solid phase epitaxial (SPE) process. Other aspects are provided herein.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: March 10, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7498229
    Abstract: A method of fabricating semiconductor components in-situ and in a continuous integrated sequence includes the steps of providing a single crystal semiconductor substrate, epitaxially growing a first layer of rare earth insulator material on the semiconductor substrate, epitaxially growing a first layer of semiconductor material on the first layer of rare earth insulator material, epitaxially growing a second layer of rare earth insulator material on the first layer of semiconductor material, and epitaxially growing a second layer of semiconductor material on the second layer of rare earth insulator material. The first layer of rare earth insulator material, the first layer of semiconductor material, the second layer of rare earth insulator material, and the second layer of semiconductor material form an in-situ grown structure of overlying layers. The in-situ grown structure is etched to define a semiconductor component and electrical contacts are deposited on the semiconductor component.
    Type: Grant
    Filed: February 9, 2005
    Date of Patent: March 3, 2009
    Assignee: Translucent, Inc.
    Inventor: Petar B. Atanackovic
  • Patent number: 7495250
    Abstract: A method for forming an etch-stop layer and a resulting structure fabricated therefrom. The etch-stop layer is a silicon-germanium layer having a ratio of silicon to germanium of about 50:1 or less, a boron layer formed within the silicon-germanium layer where the boron layer has a full-width half-maximum (FWHM) thickness value of less than 50 nanometers, and a carbon layer formed within the silicon-germanium layer where the carbon layer has an FWHM thickness value of less than 50 nanometers. A ratio of boron to carbon in the etch-stop layer is in a range of about 0.5 to 1.5.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: February 24, 2009
    Assignee: Atmel Corporation
    Inventor: Darwin G. Enicks
  • Patent number: 7491612
    Abstract: A field effect transistor with a heterostructure includes a strained monocrystalline semiconductor layer formed on a carrier material, which has a relaxed monocrystalline semiconductor layer made of a first semiconductor material (Si) as the topmost layer. The strained monocrystalline semiconductor layer has a semiconductor alloy (GexSi1-x), where the proportion x of a second semiconductor material can be set freely. Furthermore, a gate insulation layer and a gate layer are formed on the strained semiconductor layer. To define an undoped channel region, drain/source regions are formed laterally with respect to the gate layer at least in the strained semiconductor layer. The possibility of freely setting the Ge proportion x enables a threshold voltage to be set as desired, whereby modern logic semiconductor components can be realized.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: February 17, 2009
    Assignee: Infineon Technologies AG
    Inventor: Klaus Schruefer
  • Patent number: 7470580
    Abstract: To form a wiring electrode having excellent contact function, in covering a contact hole formed in an insulating film, a film of a wiring material comprising aluminum or including aluminum as a major component is firstly formed and on top of the film, a film having an element belonging to 12 through 15 groups as a major component is formed and by carrying out a heating treatment at 400° C. for 0.5 through 2 hr in an atmosphere including hydrogen, the wiring material is provided with fluidity and firm contact is realized.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: December 30, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideomi Suzawa, Kunihiko Fukuchi
  • Patent number: 7470972
    Abstract: A transistor may be formed of different layers of silicon germanium, a lowest layer having a graded germanium concentration and upper layers having constant germanium concentrations such that the lowest layer is of the form Si1-xGex. The highest layer may be of the form Si1-yGey on the PMOS side. A source and drain may be formed of epitaxial silicon germanium of the form Si1-zGez on the PMOS side. In some embodiments, x is greater than y and z is greater than x in the PMOS device. Thus, a PMOS device may be formed with both uniaxial compressive stress in the channel direction and in-plane biaxial compressive stress. This combination of stress may result in higher mobility and increased device performance in some cases.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: December 30, 2008
    Assignee: Intel Corporation
    Inventors: Jack Kavalieros, Justin K. Brask, Mark L. Doczy, Matthew V. Metz, Suman Datta, Brian S. Doyle, Robert S. Chau, Everett X. Wang, Philippe Matagne, Lucian Shifren, Been Y. Jin, Mark Stettler, Martin D. Giles
  • Patent number: 7442657
    Abstract: A stress relaxed monocrystalline layer structure is made on a nonlattice matched substrate by first applying to the substrate epitaxially a monocrystalline layer structure comprising at least one layer, the monocrystalline layer structure forming with the substrate an interface that has a greater lattice parameter mismatch on the substrate than within the monocrystalline layer structure. The monocrystalline layer is irradiated by directing an ion beam to generate predominantly point effects in the monocrystalline layer structure and an extended defect region in the substrate proximal to the monocrystalline layer structure. Then the monocrystalline layer structure is thermally treated in a temperature range of 550° C. to 1000° C. in an inert, reducing or oxidizing atmosphere so that the monocrystalline layer structure above the extended defect region is stress relaxed and has a defect density less than 106 cm?2 and a surface roughness of less than 1 nm.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: October 28, 2008
    Assignee: Forschungszentrum Julich GmbH
    Inventor: Siegfried Mantl
  • Patent number: 7435605
    Abstract: A method for fabricating a component having an electrical contact region on an n-conducting AlGaInP-based or AlGaInAs-based outer layer of an epitaxially grown semiconductor layer sequence, in which electrical contact material, which includes Au and at least one dopant, is applied and the outer layer is then annealed. The dopant contains at least one element selected from the group consisting of Ge, Si, Sn and Te. Also, a component is disclosed which includes an epitaxially grown semiconductor layer sequence with an active zone which emits electromagnetic radiation, the semiconductor layer sequence having an n-conducting AlGaInP-based or AlGaInAs-based outer layer, to which an electrical contact region is applied using the method described.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: October 14, 2008
    Assignee: Osram Opto Semiconductors GmbH
    Inventors: Stefan Illek, Peter Stauss, Andreas Ploessl, Gudrun Diepold, Ines Pietzonka, Wilhelm Stein, Ralph Wirth, Walter Wegleiter
  • Patent number: 7432559
    Abstract: A semiconductor structure includes a first silicon-containing layer comprising an element selected from the group consisting essentially of carbon and germanium wherein the silicon-containing layer has a first atomic percentage of the element to the element and silicon, a second silicon-containing layer comprising the element over the first silicon-containing layer, and a silicide layer on the second silicon-containing layer. The element in the second silicon-containing layer has a second atomic percentage of the element to the element and silicon, wherein the second atomic percentage is substantially lower than the first atomic percentage.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: October 7, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jerry Lai, Chii-Ming Wu, Chih-Wei Chang, Shau-Lin Shue
  • Patent number: 7393735
    Abstract: A structure and method of fabricating a high-mobility semiconductor layer structure and field-effect transistor (MODFET) that includes a high-mobility conducting channel, while at the same time, maintaining counter doping to control deleterious short-channel effects. The MODFET design includes a high-mobility conducting channel layer wherein the method allows the counter doping to be formed using a standard technique such as ion implantation, and further allows the high-mobility channel to be in close proximity to the counter doping without degradation of the mobility.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: July 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Jack O. Chu, Steven J. Koester, Qiqing C. Ouyang
  • Patent number: 7378305
    Abstract: A semiconductor integrated circuit device includes an n-channel MOS transistor formed on a first device region of a silicon substrate and a p-channel MOS transistor formed on a second device region of the silicon substrate, wherein the n-channel MOS transistor includes a first gate electrode carrying a pair of first sidewall insulation films formed on respective sidewall surfaces thereof, the p-channel MOS transistor includes a second gate electrode carrying a pair of second sidewall insulation films formed on respective sidewall surfaces thereof, first and second SiGe mixed crystal regions being formed in the second device region epitaxially so as to fill first and second trenches formed at respective, outer sides of the second sidewall insulation films so as to be included in source and drain diffusions of the p-channel MOS transistor, a distance between n-type source and drain diffusion region in the first device region being larger than a distance between the p-type source and drain diffusion regions in t
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: May 27, 2008
    Assignee: Fujitsu Limited
    Inventors: Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura, Yosuke Shimamune, Masashi Shima, Hiroyuki Ohta
  • Patent number: 7361574
    Abstract: A method is provided for transferring a single-crystal silicon (Si) film to a glass substrate. The method deposits a germanium (Ge)-containing material overlying a Si wafer, forming a sacrificial Ge-containing film. A single-crystal Si film is formed overlying the sacrificial Ge-containing film. The Si film surface is bonded to a transparent substrate, forming a bonded substrate. The bonded substrate is immersed in a Ge etching solution to remove the sacrificial Ge-containing film, which separates the transparent substrate from the Si wafer. The result is a transparent substrate with an overlying single crystal Si film. Optionally, channels can be formed to distribute the Ge etching solution, and promote the removal of the Ge-containing film.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: April 22, 2008
    Assignee: Sharp Laboratories of America, Inc
    Inventors: Jer-Shen Maa, David R. Evans, Jong-Jan Lee, Douglas J. Tweet, Sheng Teng Hsu
  • Patent number: 7358112
    Abstract: A method of growing a p-type nitride semiconductor material having magnesium as a p-type dopant by molecular beam epitaxy (MBE), comprises supplying ammonia gas, gallium and magnesium to an MBE growth chamber containing a substrate so as to grow a p-type nitride semiconductor material over the substrate. Magnesium is supplied to the growth chamber at a beam equivalent pressure of at least 1 10-9 mbar, and preferably in the range from 1 10-9 mbar to 1 10-7 mbar during the growth process. This provides p-type GaN that has a high concentration of free charge carriers and eliminates the need to activate the magnesium dopant atoms by annealing or irradiating the material.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: April 15, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Jennifer Mary Barnes, Valerie Bousquet, Stewart Edward Hooper, Jonathan Heffernan
  • Patent number: 7348284
    Abstract: A non-planar tri-gate p-MOS transistor structure with a strained channel region and a non-planar tri-gate integrated strained complimentary metal-oxide-semiconductor (CMOS) structure are described. A relaxed Si1-x Gex layer is formed on the silicon-on-isolator (SOI) substrate. The relaxed Si1-x Gex layer is patterned and subsequently etched to form a fin on the oxide. The compressively stressed Si1-y Gey layer, having the Ge content y higher than the Ge content x in the relaxed Si1-xGex layer, is epitaxially grown on the fin. The Si1-y Gey layer covers the top and two sidewalls of the fin. The compressive stress in the Si1-y Gey layer substantially increases the hole mobility in a channel of the non-planar tri-gate p-MOS transistor structure.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: March 25, 2008
    Assignee: Intel Corporation
    Inventors: Brian S Doyle, Suman Datta, Been-Yih Jin, Nancy M Zelick, Robert Chau
  • Patent number: 7344933
    Abstract: A method is disclosed of forming an extension region for a transistor having a gate structure overlying a compound semiconductor layer. An anneal is used either before or after deep source/drain implantation to diffuse a dopant from a raised region adjacent the gate structure to a location underlying the gate structure. A non-diffusing activation process can be used to activate source/drain implants when the dopants from the raised region are diffused prior to deep source/drain implantation.
    Type: Grant
    Filed: January 3, 2006
    Date of Patent: March 18, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Sinan Goktepeli, Mark C. Foisy
  • Patent number: 7327036
    Abstract: The present invention is related to a device comprising a substrate comprising a silicon substrate having a porous top layer, a second layer on said top layer, said second layer made of a material comprising Ge, and a further layer of a Group III-nitride material on the second layer. The present invention further is related to methods of production and to intermediate or template devices highly suitable for the epitaxial growth of a high quality Group III-nitride layer.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: February 5, 2008
    Assignee: Interuniversitair Microelektronica Centrum (IMEC)
    Inventors: Gustaaf Borghs, Stefan Degroote, Marianne Germain
  • Patent number: 7256107
    Abstract: In fabricating a microelectromechanical structure (MEMS), a method of forming a narrow gap in the MEMS includes a) depositing a layer of sacrificial material on the surface of a supporting substrate, b) photoresist masking and at least partially etching the sacrificial material to form at least one blade of sacrificial material, c) depositing a structural layer over the sacrificial layer, and d) removing the sacrificial layer including the blade of the sacrificial material with a narrow gap remaining in the structural layer where the blade of sacrificial material was removed.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: August 14, 2007
    Assignee: The Regents of the University of California
    Inventors: Hideki Takeuchi, Emmanuel P. Quevy, Tsu-Jae King, Roger T. Howe
  • Patent number: 7241670
    Abstract: A method of forming a relaxed SiGe layer having a high germanium content in a semiconductor device includes preparing a silicon substrate; depositing a strained SiGe layer; implanting ions into the strained SiGe layer, wherein the ions include silicon ions and ions selected from the group of ions consisting of boron and helium, and which further includes implanting H+ ions; annealing to relax the strained SiGe layer, thereby forming a first relaxed SiGe layer; and completing the semiconductor device.
    Type: Grant
    Filed: September 7, 2004
    Date of Patent: July 10, 2007
    Assignee: Sharp Laboratories of America, Inc
    Inventors: Douglas J. Tweet, David R. Evans, Sheng Teng Hsu, Jer-Shen Maa
  • Patent number: 7238622
    Abstract: A method of forming a virtual substrate comprised of an optoelectronic device substrate and handle substrate comprises the steps of initiating bonding of the device substrate to the handle substrate, improving or increasing the mechanical strength of the device and handle substrates, and thinning the device substrate to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. The handle substrate is typically Si or other inexpensive common substrate material, while the optoelectronic device substrate is formed of more expensive and specialized electro-optic material. Using the methodology of the invention a wide variety of thin film electro-optic materials of high quality can be bonded to inexpensive substrates which serve as the mechanical support for an optoelectronic device layer fabricated in the thin film electro-optic material.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: July 3, 2007
    Assignee: California Institute of Technology
    Inventors: Harry A. Atwater, Jr., James M. Zahler, Anna Fontcuberta i Morral
  • Patent number: 7232737
    Abstract: A method of forming a structure that includes a removed layer taken from a donor wafer donor wafer that includes a first layer of Si1-xGex and a second layer of Si1-yGey. The method includes implanting atomic species into the donor wafer to form a zone of weakness in the first layer; bonding the donor wafer to a receiver wafer; detaching the second layer and a portion of the first layer from the donor wafer by supplying energy sufficient to cause cleavage and form an intermediate structure thereof conducting a rapid thermal anneal of the intermediate structure at a temperature of about 1000° C. or more for less than 5 minutes; and removing by selective etching any remaining portions of the first layer of the intermediate structure to provide a semiconductor structure that has the second layer on the receiving wafer.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: June 19, 2007
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Nicolas Daval
  • Patent number: 7229865
    Abstract: The invention includes SOI thin film transistor constructions, memory devices, computer systems, and methods of forming various structures, devices and systems. The structures typically comprise a thin crystalline layer of silicon/germanium formed over a wide range of suitable substrates. The crystalline properties of the silicon/germanium can be controlled during formation of the silicon/germanium so that the material has a relaxed crystalline lattice and large crystalline grain sizes. The crystalline grain sizes can be sufficiently large so that transistor devices formed in association with the thin crystalline material have active regions utilizing only a single grain of the silicon/germanium material.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: June 12, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7220632
    Abstract: An integration process where a first semiconductor protective layer and a second semiconductor protective layer are formed to protect the first and second semiconductor materials, respectfully, during processing to form an optical device, such as a photodetector, and a transistor on the same semiconductor. The first semiconductor protective layer protects the semiconductor substrate during formation of the second semiconductor layer, and the second semiconductor layer protects the second semiconductor material during subsequent processing of the first semiconductor. In one embodiment, the first semiconductor includes silicon and the second semiconductor material includes germanium.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: May 22, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Robert E. Jones
  • Patent number: 7211521
    Abstract: A structure including at least one layer of germanium formed on a surface of a ceramic substrate is provided. The layer of germanium has a thickness of not larger than 10 microns and includes grains having grain size of at least 0.05 mm. A structure including at least one layer of germanium formed on a surface of a ceramic substrate and having at least one capping layer formed on a surface of the layer of germanium is also provided. In addition, a method of forming a thin film germanium structure is provided including forming at least one layer of germanium on a surface of a ceramic substrate, then forming at least one capping layer on a surface of the layer of germanium, followed by heating and then cooling the layer of germanium.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: May 1, 2007
    Assignee: Heritage Power LLC
    Inventor: Michael G. Mauk
  • Patent number: 7208357
    Abstract: A process for forming a strained semiconductor layer. The process includes implanting ions into a semiconductor layer prior to performing a condensation process on the layer. The ions assist in diffusion of atoms (e.g. germanium) in the semiconductor layer and to increase the relaxation of the semiconductor layer. After the condensation process, the layer can be used as a template layer for forming a strained semiconductor layer.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: April 24, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mariam G. Sadaka, Alexander L. Barr, Bich-Yen Nguyen, Voon-Yew Thean, Ted R. White
  • Patent number: 7202122
    Abstract: A method comprises providing a semiconductor alloy layer on a semiconductor substrate, forming a gate structure on the semiconductor alloy layer, forming source and drain regions in the semiconductor substrate on both sides of the gate structure, removing at least a portion of the semiconductor alloy layer overlying the source and drain regions, and forming a metal silicide region over the source and drain regions.
    Type: Grant
    Filed: June 11, 2004
    Date of Patent: April 10, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Chao Huang, Yee-Chia Yeo, Chao-Hsiung Wang, Chun-Chieh Lin, Chenming Hu
  • Patent number: 7202503
    Abstract: An assembly comprising a semiconductor substrate having a first lattice constant, an intermediate layer having a second lattice constant formed on the semiconductor substrate, and a virtual substrate layer having a third lattice constant formed on the intermediate layer. The intermediate layer comprises one of a combination of III–V elements and a combination of II–VI elements. The second lattice constant has a value that is approximately between the values of the first lattice constant and the third lattice constant.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: April 10, 2007
    Assignee: Intel Corporation
    Inventors: Loren Chow, Mohamad Shaheen
  • Patent number: 7199015
    Abstract: Atomic layer epitaxy (ALE) is applied to the fabrication of new forms of rare-earth oxides, rare-earth nitrides and rare-earth phosphides. Further, ternary compounds composed of binary (rare-earth oxides, rare-earth nitrides and rare-earth phosphides) mixed with silicon and or germanium to form compound semiconductors of the formula RE-(O, N, P)—(Si,Ge) are also disclosed, where RE=at least one selection from group of rare-earth metals, O=oxygen, N=nitrogen, P=phosphorus, Si=silicon and Ge=germanium. The presented ALE growth technique and material system can be applied to silicon electronics, opto-electronic, magneto-electronics and magneto-optics devices.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: April 3, 2007
    Assignee: Translucent Photonics, Inc.
    Inventor: Petar B. Atanackovic
  • Patent number: 7192868
    Abstract: A method of patterning and releasing chemically sensitive low k films without the complication of a permanent hardmask stack, yielding an unaltered free-standing structure is provided. The method includes providing a structure including a Si-containing substrate having in-laid etch stop layers located therein; forming a chemically sensitive low k film and a protective hardmask having a pattern atop the structure; transferring the pattern to the chemically sensitive low k film to provide an opening that exposes a portion of the Si-containing substrate; and etching the exposed portion of the Si-containing substrate through the opening to provide a cavity in the Si-containing substrate in which a free-standing low k film structure is formed, while removing the hardmask. In accordance with the present invention, the etching comprises a XeF2 etch gas.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: March 20, 2007
    Assignee: International Business Machines Corporation
    Inventors: John Michael Cotte, Nils Deneke Hoivik, Christopher Vincent Jahnes
  • Patent number: 7186664
    Abstract: A typical integrated-circuit fabrication requires interconnecting millions of microscopic transistors and resistors with metal wires. Making the metal wires flush, or coplanar, with underlying insulation requires digging trenches in the insulation, and then filling the trenches with metal to form the wires. The invention provides a new “trench-less” or “self-planarizing” method of making coplanar metal wires. Specifically, one embodiment forms a first layer that includes silicon and germanium; oxidizes a region of the first layer to define an oxidized region and a non-oxidized region; and reacts aluminum or an aluminum alloy with the non-oxidized region. The reaction substitutes, or replaces, the non-oxidized region with aluminum to form a metallic wire coplanar with the first layer. Another step removes germanium oxide from the oxidized region to form a porous insulation having a very low dielectric constant, thereby reducing capacitance.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: March 6, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes, Paul A. Farrar
  • Patent number: 7179727
    Abstract: A method of forming a lattice-tuning semiconductor substrate comprises the steps of defining parallel strips of a Si surface by the provision of spaced parallel oxide walls (2) on the surface, selectively growing a first SiGe layer on the strips such that first dislocations (3) extend preferentially across the first SiGe layer between the walls (2) to relieve the strain in the first SiGe layer in directions transverse to the walls (2), and growing a second SiGe layer on top of the first SiGe layer to overgrow the walls (2) such that second dislocations form preferentially within the second SiGe layer above the walls (2) to relieve the strain in the second SiGe layer in directions transverse to the first dislocations (3). The dislocations so produced serve to relax the material in two mutually transverse directions whilst being spatially separated so that the two sets of dislocations cannot interact with one another.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: February 20, 2007
    Assignee: AdvanceSis Limited
    Inventors: Adam Daniel Capewell, Timothy John Grasby, Evan Hubert Cresswell Parker, Terence Whall
  • Patent number: 7169226
    Abstract: A method of fabricating high-quality, substantially relaxed SiGe-on-insulator substrate materials which may be used as a template for strained Si is described. A silicon-on-insulator substrate with a very thin top Si layer is used as a template for compressively strained SiGe growth. Upon relaxation of the SiGe layer at a sufficient temperature, the nature of the dislocation motion is such that the strain-relieving defects move downward into the thin Si layer when the buried oxide behaves semi-viscously. The thin Si layer is consumed by oxidation of the buried oxide/thin Si interface. This can be accomplished by using internal oxidation at high temperatures. In this way the role of the original thin Si layer is to act as a sacrificial defect sink during relaxation of the SiGe alloy that can later be consumed using internal oxidation.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: January 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Huajie Chen, Anthony G. Domenicucci, Keith E. Fogel, Devendra K. Sadana
  • Patent number: 7163903
    Abstract: A semiconductor substrate having a silicon layer is provided. In one embodiment, the substrate is a silicon-on-insulator (SOI) substrate having an oxide layer underlying the silicon layer. An amorphous or polycrystalline silicon germanium layer is formed overlying the silicon layer. Alternatively, germanium is implanted into a top portion of the silicon layer to form an amorphous silicon germanium layer. The silicon germanium layer is then oxidized to convert the silicon germanium layer into a silicon dioxide layer and to convert at least a portion of the silicon layer into germanium-rich silicon. The silicon dioxide layer is then removed prior to forming transistors using the germanium-rich silicon. In one embodiment, the germanium-rich silicon is selectively formed using a patterned masking layer over the silicon layer and under the silicon germanium layer. Alternatively, isolation regions may be used to define local regions of the substrate in which the germanium-rich silicon is formed.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: January 16, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius K. Orlowski, Alexander L. Barr, Mariam G. Sadaka, Ted R. White
  • Patent number: 7163854
    Abstract: To form a wiring electrode having excellent contact function, in covering a contact hole formed in an insulting film, a film of a wiring material comprising aluminum or including aluminum as a major component is firstly formed and on top of the film, a film having an element belonging to 12 through 15 groups as a major component is formed and by carrying out a heating treatment at 400° C. for 0.5 through 2 hr in an atmosphere including hydrogen, the wiring material is provided with fluidity and firm contact is realized.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: January 16, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideomi Suzawa, Kunihiko Fukuchi
  • Patent number: 7148130
    Abstract: A semiconductor device is disclosed, which comprises a semiconductor substrate, source/drain regions formed in the semiconductor substrate, a gate insulating film formed on a channel region between the source/drain regions, a gate electrode formed on the gate insulating film, and a sidewall insulating film formed on a sidewall surface of the gate electrode, wherein the gate electrode is made of SiGe, the sidewall insulating film is an insulating film obtained by oxidizing the sidewall surface of the gate electrode, and the sidewall insulating film contains silicon oxide as a main component.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: December 12, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kiyotaka Miyano