Passivation Layer Patents (Class 438/958)
  • Patent number: 8999764
    Abstract: Methods of blocking ionizing radiation to reduce soft errors and resulting IC chips are disclosed. One embodiment includes forming a front end of line (FEOL) for an integrated circuit (IC) chip; and forming at least one back end of line (BEOL) dielectric layer including ionizing radiation blocking material therein. Another embodiment includes forming a front end of line (FEOL) for an integrated circuit (IC) chip; and forming an ionizing radiation blocking layer positioned in a back end of line (BEOL) of the IC chip. The ionizing radiation blocking material or layer absorbs ionizing radiation and reduces soft errors within the IC chip.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Ian D. Melville, Kevin S. Petrarca, Kenneth P. Rodbell
  • Patent number: 8969110
    Abstract: A method of manufacturing an organic light-emitting display apparatus includes: providing an organic light emission part on a substrate; providing a first inorganic layer including a first low temperature viscosity transition (“LVT”) inorganic material on the substrate to cover the organic light emission part; and adding fluoride into the first inorganic layer using a fluorine group material such that the first inorganic layer is converted into a second inorganic layer comprising a second low temperature viscosity transition inorganic material.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: March 3, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Jai-Hyuk Choi
  • Patent number: 8916423
    Abstract: A method of manufacturing a semiconductor device includes: forming a first electrode on a first semiconductor substrate; coating the semiconductor substrate with an insulating material having a first viscosity at a first temperature, having a second viscosity lower than the first viscosity at a second temperature higher than the first temperature, and having a third viscosity higher than the second viscosity at a third temperature higher than the second temperature; and forming a first insulating film by curing the insulating material. In this method, the forming the first insulating film includes: bringing the insulating material to the second viscosity by heating the insulating material under a first condition; and bringing the insulating material to the third viscosity by heating the insulating material under a second condition. The first condition and the second condition are different in their temperature rising rate.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: December 23, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Tamotsu Owada, Hikaru Ohira, Hirosato Ochimizu
  • Patent number: 8916437
    Abstract: A transistor having at least one passivated Schottky barrier to a channel includes an insulated gate structure on a p-type substrate in which the channel is located beneath the insulated gate structure. The channel and the insulated gate structure define a first and second undercut void regions that extend underneath the insulated gate structure toward the channel from a first and a second side of the insulated gate structure, respectively. A passivation layer is included on at least one exposed sidewall surface of the channel, and metal source and drain terminals are located on respective first and second sides of the channel, including on the passivation layer and within the undercut void regions beneath the insulated gate structure. At least one of the metal source and drain terminals comprises a metal that has a work function near a valence band of the p-type substrate.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: December 23, 2014
    Assignee: Acorn Technologies, Inc.
    Inventors: Daniel E. Grupp, Daniel J. Connelly
  • Patent number: 8895340
    Abstract: A process for forming a carbon nanotube field effect transistor (CNTFET) device includes site-specific nanoparticle deposition on a CNTFET that has one or more carbon nanotubes, a source electrode, a drain electrode, and a sacrificial electrode on a substrate with an interposed dielectric layer. The process includes control of PMMA removal and electrodeposition in order to select nanoparticle size and deposition location down to singular nanoparticle deposition. The CNTFET device resulting in ultra-sensitivity for various bio-sensing applications, including detection of glucose at hypoglycemic levels.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: November 25, 2014
    Assignee: Georgetown University
    Inventors: Makarand Paranjape, Yian Liu
  • Patent number: 8865599
    Abstract: Planarization methods and microelectronic structures formed therefrom are disclosed. The methods and structures use planarization materials comprising fluorinated compounds or acetoacetylated compounds. The materials are self-leveling and achieve planarization over topography without the use of etching, contact planarization, chemical mechanical polishing, or other conventional planarization techniques.
    Type: Grant
    Filed: November 8, 2012
    Date of Patent: October 21, 2014
    Assignee: Brewer Science Inc.
    Inventors: Dongshun Bai, Xie Shao, Michelle Fowler, Tingji Tang
  • Patent number: 8809898
    Abstract: A method of fabricating semiconductor devices, such as GaN LEDs, on insulating substrates, such as sapphire. Semiconductor layers are produced on the insulating substrate using normal semiconductor processing techniques. Trenches that define the boundaries of the individual devices are then formed through the semiconductor layers and into the insulating substrate, beneficially by using inductive coupled plasma reactive ion etching. The trenches are then filled with an easily removed layer. A metal support structure is then formed on the semiconductor layers (such as by plating or by deposition) and the insulating substrate is removed. Electrical contacts, a passivation layer, and metallic pads are then added to the individual devices, and the individual devices are then diced out.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: August 19, 2014
    Assignee: LG Innotek Co., Ltd.
    Inventors: Jong Lam Lee, Inkwon Jeong, Myung Cheol Yoo
  • Patent number: 8664115
    Abstract: A passivation layer is formed on inlaid Cu for protection against oxidation and removal during subsequent removal of an overlying metal hardmask. Embodiments include treating an exposed upper surface of inlaid Cu with hydrofluoric acid and a copper complexing agent, such as benzene triazole, to form a passivation monolayer of a copper complex, etching to remove the metal hardmask, removing the passivation layer by heating to at least 300° C., and forming a barrier layer on the exposed upper surface of the inlaid Cu.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: March 4, 2014
    Inventors: Christin Bartsch, Susanne Leppack
  • Patent number: 8562750
    Abstract: A method and apparatus for processing a bevel edge is provided. A substrate is placed in a bevel processing chamber and a passivation layer is formed on the substrate only around a bevel region of the substrate using a passivation plasma confined in a peripheral region of the bevel processing chamber. The substrate may undergo a subsequent semiconductor process, during which the bevel edge region of the substrate is protected by the passivation layer. Alternatively, the passivation layer may be patterned using a patterning plasma formed in an outer peripheral region of the processing chamber, the patterning plasma being confined by increasing plasma confinement. The passivation layer on outer edge portion of the bevel region is removed, while the passivation layer on an inner portion of the bevel region is maintained. The bevel edge of the substrate may be cleaned using the patterned passivation layer as a protective mask.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: October 22, 2013
    Assignee: Lam Research Corporation
    Inventors: Jack Chen, Yunsang Kim
  • Patent number: 8524591
    Abstract: In semiconductor devices, integrity of a titanium nitride material may be increased by exposing the material to an oxygen plasma after forming a thin silicon nitride-based material. The oxygen plasma may result in an additional passivation of any minute surface portions which may not be appropriately covered by the silicon nitride-based material. Consequently, efficient cleaning recipes, such as cleaning processes based on SPM, may be performed after the additional passivation without undue material loss of the titanium nitride material. In this manner, sophisticated high-k metal gate stacks may be formed with a very thin protective liner material on the basis of efficient cleaning processes without unduly contributing to a pronounced yield loss in an early manufacturing stage.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: September 3, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Sven Beyer, Rick Carter, Andreas Hellmich, Berthold Reimer
  • Patent number: 8501626
    Abstract: Methods for etching high-k material at high temperatures are provided. In one embodiment, a method etching high-k material on a substrate may include providing a substrate having a high-k material layer disposed thereon into an etch chamber, forming a plasma from an etching gas mixture including at least a halogen containing gas into the etch chamber, maintaining a temperature of an interior surface of the etch chamber in excess of about 100 degree Celsius while etching the high-k material layer in the presence of the plasma, and maintaining a substrate temperature between about 100 degree Celsius and about 250 degrees Celsius while etching the high-k material layer in the presence of the plasma.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: August 6, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Wei Liu, Eiichi Matsusue, Meihua Shen, Shashank Deshmukh, Anh-Kiet Quang Phan, David Palagashvili, Michael D. Willwerth, Jong I. Shin, Barrett Finch, Yohei Kawase
  • Patent number: 8482106
    Abstract: The invention relates to a method for producing passivation layers on crystalline silicon by a) coating the silicon with a solution containing at least one polysilazane of the general formula (1): —(SiR?R?—NR??)-n, wherein R?, R?, R?? are the same or different and stand independently of each other for hydrogen or a possibly substituted alkyl, aryl, vinyl, or (trialkoxysilyl)alkyl group, wherein n is an integer and n is chosen such that the polysilazane has a number average molecular weight of 150 to 150,000 g/mol, b) subsequently removing the solvent by evaporation, whereby polysilazane layers of 50-500 nm thickness remain on the silicon wafer, and c) heating the polysilazane layer at normal pressure to 200-1000° C. in the presence of air or nitrogen, wherein upon tempering the ceramic layers release hydrogen for bulk passivation of the silicon.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: July 9, 2013
    Inventors: Klaus Rode, Hartmut Wiezer
  • Patent number: 8455372
    Abstract: The present invention belongs to the technical field of semiconductor materials and specifically relates to a method for cleaning and passivizing gallium arsenide (GaAs) surface autologous oxide and depositing an Al2O3 dielectric. This method includes: use a new-type of sulfur passivant to react with the autologous oxide on the GaAs surface to clean it and generate a passive sulfide film to separate the GaAs from the outside environment, thus preventing the GaAs from oxidizing again; further cleaning the residuals such as autologous oxides and sulfides on the GaAs surface through the pretreatment reaction of the reaction source trimethyl aluminum (TMA) of the Al2O3 ALD with the GaAs surface, and then deposit high-quality Al2O3 dielectric through ALD as the gate dielectric which fully separates the GaAs from the outside environment. The present invention features a simple process and good effects, and can provide preconditions for manufacturing the GaAs devices.
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: June 4, 2013
    Assignee: Fudan University
    Inventors: Qingqing Sun, Runchen Fang, Wen Yang, Pengfei Wang, Wei Zhang
  • Patent number: 8324003
    Abstract: A thin film transistor display panel includes gate wiring formed on an insulation substrate and including gate lines, and gate electrodes and gate pads connected to the gate lines; a gate insulation layer covering the gate wiring; a semiconductor pattern formed over the gate insulation layer; data wiring formed over the gate insulation layer or the semiconductor pattern and including source electrodes, drain electrodes, and data pads; a protection layer including a Nega-PR type of organic insulating layer formed all over the semiconductor pattern and the data wiring, wherein the thickness of the Nega-PR type of organic insulating layer in both the gate and data pad regions is smaller than in the other regions; and a pixel electrode connected to the drain electrode. When exposing the Nega-PR type of passivation layer in the pad region during a photolithography process, a photomask having a lattice pattern made of a metal such as Cr that has a line width of less than the resolution of a light exposer is used.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: December 4, 2012
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hoon Kang, Jin-Ho Ju, Yang-Ho Jung, Jae-Sung Kim
  • Patent number: 8273669
    Abstract: A method for forming a passivated densified nanoparticle thin film on a substrate in a chamber is disclosed. The method includes depositing a nanoparticle ink on a first region on the substrate, the nanoparticle ink including a set of Group IV semiconductor particles and a solvent. The method also includes heating the nanoparticle ink to a first temperature between about 30° C. and about 400° C., and for a first time period between about 1 minute and about 60 minutes, wherein the solvent is substantially removed, and a porous compact is formed. The method further includes flowing an oxidizer gas into the chamber; and heating the porous compact to a second temperature between about 600° C. and about 1000° C., and for a second time period of between about 5 seconds and about 1 hour; wherein the passivated densified nanoparticle thin film is formed.
    Type: Grant
    Filed: November 4, 2010
    Date of Patent: September 25, 2012
    Assignee: Innovalight, Inc.
    Inventors: Dmitry Poplavskyy, Maxim Kelman, Mason Terry
  • Patent number: 8193030
    Abstract: Nonvolatile memory devices may be fabricated to include a switching device on a substrate and/or a storage node electrically connected to the switching device. A storage node may include a lower metal layer electrically connected to the switching device, a first insulating layer, a middle metal layer, a second insulating layer, an upper metal layer, a carbon nanotube layer, and/or a passivation layer stacked on the lower metal layer.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: June 5, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-wook Moon, Joong S. Jeon, El Mostafa Bourim, Hyun-deok Yang
  • Patent number: 8183135
    Abstract: A TFT (Thin Film Transistor) is provided in which a hydrogen feeding layer is able to be formed in a position where diffusing distance of hydrogen can be made short without causing an increase in photolithography processes. In the TFT, the hydrogen feeding layer to diffuse hydrogen into a dangling bond existing at an interface between a polycrystalline silicon thin film and a gate insulating film is formed in a position between the gate insulating film and a gate electrode. According to this configuration, diffusing distance of hydrogen at a period of time during hydrogenation can be made short and the hydrogenation process can be sufficiently performed without taking time in heat treatment.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: May 22, 2012
    Assignee: NEC Corporation
    Inventor: Hiroaki Tanaka
  • Patent number: 8062931
    Abstract: In the preferred embodiments, a method to reduce gate leakage and dispersion of group III-nitride field effect devices covered with a thin in-situ SiN layer is provided. This can be obtained by introducing a second passivation layer on top of the in-situ SiN-layer, in combination with cleaning of the in-situ SiN before gate deposition and before deposition of the second passivation layer.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: November 22, 2011
    Assignees: IMEC, Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Anne Lorenz, Joff Derluyn, Joachim John
  • Patent number: 7972954
    Abstract: Embodiments of the invention provide a semiconductor device having dielectric material and its method of manufacture. A manufacturing method comprises forming a layer of silicon over a substrate, forming an opening through the layer of silicon, filling the opening with a conductor; and anodically etching the layer of silicon so as to form porous silicon. Embodiments may further include passivating the porous silicon such as by treating its surface with an organometallic compound. Other embodiments of the invention provide a semiconductor device comprising a layer comprising functional devices; and an interconnect structure over the layer, wherein the interconnect structure comprises a porous silicon dielectric. In an embodiment of the invention, the interconnect structure comprises a dual damascene interconnect structure. Other embodiments may include a passivation step after the step of oxidizing the porous silicon.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: July 5, 2011
    Assignee: Infineon Technologies AG
    Inventor: Frank Weber
  • Patent number: 7955992
    Abstract: A method of forming a passivation layer comprises contacting at least one surface of a wide band-gap semiconductor material with a passivating agent comprising an alkali hypochloride to form the passivation layer on said at least one surface. The passivation layer may be encapsulated with a layer of encapsulation material.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: June 7, 2011
    Assignee: Redlen Technologies, Inc.
    Inventors: Henry Chen, Pinghe Lu, Salah Awadalla
  • Patent number: 7902038
    Abstract: The invention relates to a method for production of a detachable substrate, comprising a method step for the production of an interface by means of fixing, using molecular adhesion, one face of a layer on one face of a substrate, in which, before fixing, a treatment stage for at least one of said faces is provided, rendering the mechanical hold at the interface at such a controlled level to be compatible with a subsequent detachment.
    Type: Grant
    Filed: April 11, 2002
    Date of Patent: March 8, 2011
    Assignee: Commissariat A l'Energie Atomique
    Inventors: Bernard Aspar, Hubert Moriceau, Olivier Rayssac, Bruno Ghyselen
  • Patent number: 7872333
    Abstract: A layer system is described including a silicon layer and a passivation layer which is applied at least regionally to the silicon layer's surface, the passivation layer having a first, at least largely inorganic partial layer and a second partial layer, the second partial layer being made of an organic compound including silicon or containing such a material. In particular, the second partial layer is structured in the form of a “self-assembled monolayer.” Furthermore, a method is described for creating a passivation layer on a silicon layer, a first, inorganic partial layer being created on the silicon layer and a second partial layer, containing an organic compound including silicon or being made thereof, being created at least in certain areas on the first partial layer. Both partial layers form the passivation layer. The described layer system or the described method is particularly suited for creating self-supporting structures in silicon.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: January 18, 2011
    Assignee: Robert Bosch GmbH
    Inventors: Franz Laermer, Lutz Mueller, Winfried Bernhard
  • Patent number: 7838354
    Abstract: By performing a planarization process, for instance based on a planarization layer, prior to forming a resist mask for selectively removing a portion of a stressed contact etch stop layer, the strain-inducing mechanism of a subsequently deposited further contact etch stop layer may be significantly improved.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: November 23, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Sven Mueller, Christoph Schwan
  • Patent number: 7829450
    Abstract: In a method of processing a contact pad, a passivation layer stack including at least one passivation layer is formed on at least an upper surface of a contact pad region. A first portion of the passivation layer stack is removed from above the contact pad region, wherein a second portion of the passivation layer remains on the contact pad region and covers the contact pad region. An adhesion layer is formed on the passivation layer stack. The adhesion layer is patterned, wherein the adhesion layer is removed from above the contact pad region. Furthermore, the second portion of the passivation layer stack is removed.
    Type: Grant
    Filed: November 7, 2007
    Date of Patent: November 9, 2010
    Assignee: Infineon Technologies AG
    Inventors: Markus Hammer, Guenther Ruhl, Andreas Strasser, Michael Melzl, Reinhard Goellner, Doerthe Groteloh
  • Patent number: 7816193
    Abstract: A method for fabricating a pixel structure of a liquid crystal device is provided. The method comprises providing a substrate defining a thin film transistor (TFT) region and a display region thereon. An opaque conductive layer is formed on the TFT region, and a transparent pixel electrode is formed on the display region. A patterned photoresist passivation layer is formed by backside exposure process on the TFT region, wherein the opaque conductive layer serves as the photo-mask during the backside exposure process. The photoresist passivation layer is subjected to a middle bake process to be reflowed, resulting in a complete covering of the opaque conductive layer.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: October 19, 2010
    Assignee: Au Optronics Corp.
    Inventor: Hsiang-Lin Lin
  • Patent number: 7687320
    Abstract: A semiconductor device in which moisture penetration into the package interior is suppressed, comprising a rewiring layer formed by plating, with improved reliability of electrical characteristics. On the main surface of a semiconductor chip comprising circuit elements and formed on a wafer, a passivation film opposing the circuit elements is formed, so as to expose a first region of the main surface along the edges of the main surface. An insulating film, which extends over the main surface and along the side faces of this passivation film and onto the main surface of the semiconductor chip, is formed such that there remains a second region within the first region, along the edges of the main surface. A sealing layer covering the insulating film is then formed on the second region.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: March 30, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kenji Nagasaki
  • Patent number: 7648857
    Abstract: The present invention provides a process for manufacturing an integrated circuit (IC) package and an integrated circuit (IC) package. The process, without limitation, includes providing an integrated circuit chip having a configuration, and forming a layer of overcoat material over the integrated circuit chip based upon the configuration.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: January 19, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Sean M. Malolepszy, Rex W. Pirkle
  • Patent number: 7598176
    Abstract: A plasma processing operation uses a gas mixture of N2 and H2 to both remove a photoresist film and treat a low-k dielectric material. The plasma processing operation prevents degradation of the low-k material by forming a protective layer on the low-k dielectric material. Carbon from the photoresist layer is activated and caused to complex with the low-k dielectric, maintaining a suitably high carbon content and a suitably low dielectric constant. The plasma processing operation uses a gas mixture with H2 constituting at least 10%, by volume, of the gas mixture.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: October 6, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Jang-Shiang Tsai, Yi-Nien Su, Chung-Chi Ko, Jyu-Horng Shieh, Peng-Fu Hsu, Hun-Jan Tao
  • Patent number: 7563629
    Abstract: A method of fabricating semiconductor devices, such as GaN LEDs, on insulating substrates, such as sapphire. Semiconductor layers are produced on the insulating substrate using normal semiconductor processing techniques. Trenches that define the boundaries of the individual devices are then formed through the semiconductor layers and into the insulating substrate, beneficially by using inductive coupled plasma reactive ion etching. The trenches are then filled with an easily removed layer. A metal support structure is then formed on the semiconductor layers (such as by plating or by deposition) and the insulating substrate is removed. Electrical contacts, a passivation layer, and metallic pads are then added to the individual devices, and the individual devices are then diced out.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: July 21, 2009
    Assignee: LG Electronics Inc.
    Inventors: Jong-Lam Lee, In-Kwon Jeong, Myung Cheol Yoo
  • Patent number: 7550394
    Abstract: A method of fabricating a semiconductor device includes a dry etching process of a silicon surface. The dry etching process is conducted by an etching gas containing at least one gas species selected from the group consisting of: HBr, HCl, Cl2, Br2 and HI, wherein the dry etching process includes a first step conducted at a first temperature; and a second step conducted at a second temperature.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: June 23, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Hiroshi Morioka
  • Patent number: 7470993
    Abstract: A semiconductor component has a semiconductor body and also a metal/insulation structure arranged above the semiconductor body and having a plurality of metal regions and insulation regions laterally adjoining one another. The metal regions serve for supplying the semiconductor body with electric current. Furthermore, the semiconductor component has a passivation layer arranged on the metal/insulation structure. The passivation layer includes a metal or a metal-containing compound.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: December 30, 2008
    Assignee: Infineon Technologies AG
    Inventor: Matthias Stecher
  • Patent number: 7459405
    Abstract: Embodiments of the present invention provide methods, apparatuses, and devices related to chemical vapor deposition of silicon oxide. In one embodiment, a single-step deposition process is used to efficiently form a silicon oxide layer exhibiting high conformality and favorable gap-filling properties. During a pre-deposition gas flow stabilization phase and an initial deposition stage, a relatively low ratio of silicon-containing gas:oxidant deposition gas is flowed, resulting in formation of highly conformal silicon oxide at relatively slow rates. Over the course of the deposition process step, the ratio of silicon-containing gas:oxidant gas is increased, resulting in formation of less-conformal oxide material at relatively rapid rates during later stages of the deposition process step.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: December 2, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Xinyua Xia, Zheng Yuan
  • Patent number: 7456084
    Abstract: There is provided a method of fabricating a wafer, comprising depositing semiconductor material into a recess in a setter, moving the setter through a heating/cooling region to subject the semiconductor material to a temperature profile, and removing a wafer from the recess. The size and shape of the wafer are substantially equal to the size of the wafer when it is used. As a result, the wafer can be fabricated in any desired shape and with any of a variety of surface structural features and/or internal structural features. The temperature profile can be closely controlled, enabling production of wafers having structural features not previously obtainable. There are also provided wafers formed by such methods and setters for use in such methods.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: November 25, 2008
    Assignee: Heritage Power LLC
    Inventors: Ralf Jonczyk, Scott L. Kendall, James A. Rand
  • Publication number: 20080048178
    Abstract: A method is disclosed for inhibiting oxygen and moisture penetration of a device comprising the steps of depositing a tin phosphate low liquidus temperature (LLT) inorganic material on at least a portion of the device to create a deposited tin phosphate LLT material, and heat treating the deposited LLT material in a substantially oxygen and moisture free environment to form a hermetic seal; wherein the step of depositing the LLT material comprises the use of a resistive heating element comprising tungsten. An organic electronic device is also disclosed comprising a substrate plate, at least one electronic or optoelectronic layer, and a tin phosphate LLT barrier layer, wherein the electronic or optoelectronic layer is hermetically sealed between the tin phosphate LLT barrier layer and the substrate plate. An apparatus is also disclosed having at least a portion thereof sealed with a tin phosphate LLT barrier layer.
    Type: Application
    Filed: August 24, 2006
    Publication date: February 28, 2008
    Inventors: Bruce Gardiner Aitken, Chong Pyung An, Benjamin Zain Hanson, Mark Alejandro Quesada
  • Publication number: 20080042275
    Abstract: A semiconductor package includes a substrate having a bond pad disposed on a top surface of the substrate. A first passivation layer is formed over the substrate and bond pad. The first passivation layer has an opening to expose the bond pad. An under bump metallurgy is formed over the first passivation layer. An end of the under bump metallurgy extends beyond an end of the bond pad. A second passivation layer is formed over the under bump metallurgy. The second passivation layer has a first opening to expose a first surface of the under bump metallurgy, and a second opening which is etched to expose a second surface of the under bump metallurgy. A solder ball is attached to the first surface of the under bump metallurgy to provide electrical connectivity. The second opening in the second passivation layer receives a probe needle to test the semiconductor device.
    Type: Application
    Filed: August 15, 2006
    Publication date: February 21, 2008
    Inventors: Francis Heap Hoe Kuan, Byung Tai Do, Lee Huang Chew
  • Publication number: 20070267745
    Abstract: A semiconductor device and method of manufacturing are provided that include forming an electrically conductive bump on a substrate and forming at least one passivation layer on the bump to reduce solder joint failures.
    Type: Application
    Filed: May 22, 2006
    Publication date: November 22, 2007
    Inventors: Clinton Chao, Pei-Haw Tsao, Szu Wei Lu, Tjandra Winata Karta
  • Patent number: 7279351
    Abstract: In a method of passivating a semiconductor device with two types of transistors, e.g., NMOS and PMOS transistor, the semiconductor device is placed in a pressurized sealed chamber and at least two different passivating gases are introduced into the chamber. The two passivating gases can be selected to have one gas suitable for passivating PMOS transistors and the other gas suitable for NMOS transistors.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: October 9, 2007
    Assignee: Toppoly Optoelectronics Corp.
    Inventors: Yaw Ming Tsai, Shih Chang Chang, De Hua Deng, Shih Pin Wang
  • Patent number: 7262131
    Abstract: In accordance with the present invention, a dielectric barrier layer is presented. A barrier layer according to the present invention includes a densified amorphous dielectric layer deposited on a substrate by pulsed-DC, substrate biased physical vapor deposition, wherein the densified amorphous dielectric layer is a barrier layer. A method of forming a barrier layer according to the present inventions includes providing a substrate and depositing a highly densified, amorphous, dielectric material over the substrate in a pulsed-dc, biased, wide target physical vapor deposition process. Further, the process can include performing a soft-metal breath treatment on the substrate. Such barrier layers can be utilized as electrical layers, optical layers, immunological layers, or tribological layers.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: August 28, 2007
    Assignee: Symmorphix, Inc.
    Inventors: Mukundan Narasimhan, Peter Brooks, Richard E. Demaray
  • Patent number: 7208425
    Abstract: Embodiments of the present invention provide methods, apparatuses, and devices related to chemical vapor deposition of silicon oxide. In one embodiment, a single-step deposition process is used to efficiently form a silicon oxide layer exhibiting high conformality and favorable gap-filling properties. During a pre-deposition gas flow stabilization phase and an initial deposition stage, a relatively low ratio of silicon-containing gas:oxidant deposition gas is flowed, resulting in formation of highly conformal silicon oxide at relatively slow rates. Over the course of the deposition process step, the ratio of silicon-containing gas:oxidant gas is increased, resulting in formation of less-conformal oxide material at relatively rapid rates during later stages of the deposition process step.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: April 24, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Xinyua Xia, Zheng Yuan
  • Patent number: 7172960
    Abstract: A method including introducing a dielectric layer over a substrate between an interconnection line and the substrate, the dielectric layer comprising a plurality of alternating material layers; and patterning an interconnection to the substrate. An apparatus comprising a substrate comprising a plurality of devices formed thereon; and an interlayer dielectric layer comprising a base layer and a cap layer, the cap layer comprising a plurality of alternating material layers overlying the substrate.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: February 6, 2007
    Assignee: Intel Corporation
    Inventors: Sanjay S. Natarajan, Sean W. King, Khaled A. Elamrawi
  • Patent number: 7122418
    Abstract: A method of fabricating an organic electroluminescent device. A substrate comprising an organic electroluminescent unit thereon is provided. A passivation layer is formed on the substrate to cover the organic electroluminescent layer. An ion beam is provided to perform a surface treatment on the passivation layer. A plastic layer is formed on the passivation layer. The steps of forming the passivation layer, providing the ion beam and forming the plastic layer are repeated at least once to enhance device reliability. In addition, a solid passivation layer is formed by the steps of forming the passivation layer, providing the ion beam and forming the plastic layer.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: October 17, 2006
    Assignee: Au Optronics Corporation
    Inventors: Chih-Hung Su, Yi-Chang Tsao
  • Patent number: 7112478
    Abstract: A transistor includes a semiconductor channel disposed nearby a gate and in an electrical path between a source and a drain, wherein the channel and at least one of the source or the drain are separated by an interface layer so as to form a channel-interface layer-source/drain junction in which a Fermi level of the semiconductor channel is depinned in a region near the junction and the junction has a specific contact resistance of less than approximately 1000 ?-?m2. The interface layer may include a passivating material such as a nitride, a fluoride, an oxide, an oxynitride, a hydride and/or an arsenide of the semiconductor of the channel. In some cases, the interface layer consists essentially of a monolayer configured to depin the Fermi level of the semiconductor of the channel, or an amount of passivation material sufficient to terminate all or a sufficient number of dangling bonds of the semiconductor channel to achieve chemical stability of the surface.
    Type: Grant
    Filed: January 9, 2004
    Date of Patent: September 26, 2006
    Assignee: Acorn Technologies, Inc.
    Inventors: Daniel E. Grupp, Daniel J. Connelly
  • Patent number: 7112545
    Abstract: The surface of a semiconductor material, e.g., gallium arsenide, is passivated by irradiating the surface with ultra-short laser pulses, until a stable passive surface is achieved. The passive surface so prepared is devoid of a superficial oxide layer.
    Type: Grant
    Filed: September 11, 2000
    Date of Patent: September 26, 2006
    Assignee: The Board of Trustees of the University of Arkansas
    Inventors: Tarak A. Railkar, Ajay P. Malshe, William D. Brown
  • Patent number: 7075187
    Abstract: There is disclosed a coating material formulation for layering a plurality of electrodes to provide a substrate for the electrochemical synthesis of organic oligomers. Specifically, there is disclosed a coating layer of from about 0.5 to about 100 microns thick and is composed of a mixture of controlled porosity glass (CPG) particles having an average particle size of from about 0.25 to about 25 microns, and a thickening agent.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: July 11, 2006
    Assignee: CombiMatrix Corporation
    Inventor: Karl Maurer
  • Patent number: 7045376
    Abstract: A method of passivating a semiconductor device with two types of transistors, e.g., NMOS and PMOS transistors, the semiconductor device is placed in a pressurized sealed chamber and at least two different passivating gases are introduced into the chamber. The two passivating gases can be selected to have one gas suitable for passivating PMOS transistors and the other gas suitable for NMOS transistors.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: May 16, 2006
    Assignee: Toppoly Optoelectronics Corp.
    Inventors: Yaw Ming Tsai, Shih Chang Chang, De Hua Deng, Shih Pin Wang
  • Patent number: 7041574
    Abstract: A method of forming a composite intermetal dielectric structure is provided. An initial intermetal dielectric structure is provided, which includes a first dielectric layer and two conducting lines. The two conducting lines are located in the first dielectric layer. A portion of the first dielectric layer is removed between the conducting lines to form a trench. The trench is filled with a second dielectric material. The second dielectric material is a low-k dielectric having a dielectric constant less than that of the first dielectric layer.
    Type: Grant
    Filed: July 19, 2004
    Date of Patent: May 9, 2006
    Assignee: Infineon Technologies AG
    Inventors: Sun-Oo Kim, Markus Naujok, Andy Cowley
  • Patent number: 7037859
    Abstract: Embodiments of the present invention provide methods, apparatuses, and devices related to chemical vapor deposition of silicon oxide. In one embodiment, a single-step deposition process is used to efficiently form a silicon oxide layer exhibiting high conformality and favorable gap-filling properties. During a pre-deposition gas flow stabilization phase and an initial deposition stage, a relatively low ratio of silicon-containing gas:oxidant deposition gas is flowed, resulting in formation of highly conformal silicon oxide at relatively slow rates. Over the course of the deposition process step, the ratio of silicon-containing gas:oxidant gas is increased, resulting in formation of less-conformal oxide material at relatively rapid rates during later stages of the deposition process step.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: May 2, 2006
    Assignee: Applied Material Inc.
    Inventors: Nitin K. Ingle, Xinyua Xia, Zheng Yuan
  • Patent number: 6972227
    Abstract: Semiconductor processing methods are described which can be used to reduce the chances of an inadvertent contamination during processing. In one implementation, a semiconductor wafer backside is mechanically scrubbed to remove an undesired material prior to forming a final passivation layer over an oppositely facing semiconductor wafer frontside. In another implementation, the wafer backside is treated to remove the undesired material while treatment of the wafer frontside is restricted. In another implementation, the mechanical scrubbing of the wafer backside is conducted in connection with a polishing solution which is effective to facilitate removal of undesired material from the wafer backside. In a preferred implementation, dynamic random access memory storage capacitors are formed and the undesired material constitutes remnant polysilicon which adheres to the wafer backside during formation of a frontside capacitor storage node.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: December 6, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Michael T. Andreas
  • Patent number: 6946404
    Abstract: A method for the passivation of a semiconductor substrate, wherein a SiNx:H layer is deposited on the surface of the substrate (1) by means of a PECVD process comprising the following steps: the substrate (1) is placed in a processing chamber (5) which has specific internal processing chamber dimensions; the pressure in the processing chamber is maintained at a relatively low value; the substrate (1) is maintained at a specific treatment temperature; a plasma (P) is generated by at least one plasma cascade source (3) mounted on the processing chamber (5) at a specific distance (L) from the substrate surface; at least a part of the plasma (P) generated by each source (3) is brought into contact with the substrate surface; and flows of silane and ammonia are supplied to said part of the plasma (P).
    Type: Grant
    Filed: June 3, 2003
    Date of Patent: September 20, 2005
    Assignee: OTB Group B.V.
    Inventors: Martin Dinant Bijker, Franciscus Cornelius Dings, Mauritius Cornelis Maria Van De Sanden, Michael Adrianus Theodorus Hompus, Wilhelmus Mathijs Marie Kessels
  • Patent number: 6943125
    Abstract: Provided is a method for manufacturing a semiconductor device including a plurality of different semiconductor elements with a transistor for fabricating the semiconductor device formed on a semiconductor substrate, an interlayer insulation film formed all over the upper part, and a hole trap site formed in the interlayer insulation film for preventing a mobile ion like H or moisture from penetrating, whereby it can be prevented that a leakage current increases abnormally where the voltage difference (Vgs) is lower than a threshold voltage.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: September 13, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ga Won Lee, Jae Hoon Choi, Jae Chul Om, Sung Wook Park, Jae Hee Lee