Timing Patents (Class 703/19)
  • Patent number: 7315805
    Abstract: A discrete event simulation (DES) and method of model development provide affordable, accurate, pre-validated, reusable and portable models and simulations that capture the complexity, interdependencies and stochastic nature of the operations and support (O&S) of weapons systems. A model of the O&S problem is created based on a service use profile (SUP) that describes a logical structure of delivery, maintenance, deployment, testing policy, infrastructure and logistics constraints. That model is translated into a DES, preferably using a “toolkit” including common attributes for the weapons and pre-validated common blocks and sub-models that define higher level functionality. The DES calculates a time-based prediction of weapons availability, maintenance activities, and spare parts stock over a life cycle of the weapons system.
    Type: Grant
    Filed: February 5, 2004
    Date of Patent: January 1, 2008
    Assignee: Raytheon Company
    Inventor: Robert D Slater
  • Patent number: 7315806
    Abstract: A technique to enable accurate timing and functional verification in a negative constraint calculation (NCC) implemented event-driven logic simulators when there are negative constraints in logic elements. In one example embodiment, the technique adjusts negative timing constraints by grouping the timing constraints based on associated output terminals in a digital logic circuit. The NCC is then applied to each grouped constraint to correct for path delays and resulting timing inaccuracy during an event driven simulation.
    Type: Grant
    Filed: May 20, 2004
    Date of Patent: January 1, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Abdul MJ Muthalif, Raghavendra N Rao, Javaji Sunil Babu
  • Patent number: 7313511
    Abstract: Virtual Real Time (VRT) provides high fidelity timing for software simulator environment running in a workstation. VRT is scalable and controllable. VRT provides flight and simulation software synchronization mechanism. This feature guarantees that the causality effect between flight software when interacting with simulated devices is the same as running flight software in a real test-bed environment. VRT provides high-resolution timing, which facilitates monitoring and detection of timing related faults while running the simulation software system on a workstation. VRT is modularized, such that the switchover from virtual clock to real clock is a trivial task. Running the system on a workstation using VRT behaves exactly like a real system, with the added benefits of user controllable features such as start, stop, monitor and time-scale. Performance of systems running with VRT is generally very good, equal to or better than the hardware, as the software runs natively on a faster workstation.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: December 25, 2007
    Assignee: California Institute of Technology
    Inventors: Mohammad Shahabuddin, William K. Reinholtz
  • Patent number: 7302378
    Abstract: An ESD protection device modeling method of modeling an electrical characteristic of an electrostatic discharge (ESD) protection device for simulating a circuit that include the ESD protection device, comprising the steps of (114) setting a parameter of at least one specific element that affects the electrical characteristic of the ESD protection device; and (116) modeling the electrical characteristic of the ESD protection device with the parameter of the specific element.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: November 27, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hirokazu Hayashi
  • Patent number: 7299437
    Abstract: A selector selects an FF pair (FFs, FFe) in circuit information, a calculator calculates value-capturing condition data at FFe, a divider divides a path set that matches the value-capturing condition data from a set of paths between the FF pair (FFs, FFe), and a multi-cycle path detector determines whether all the paths in the path set are multi-cycle paths. When the path set is a multi-cycle path, it is added to a timing exception path list that is output by an output unit.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: November 20, 2007
    Assignee: Fujitsu Limited
    Inventor: Hiroyuki Higuchi
  • Patent number: 7299438
    Abstract: A timing verification apparatus calculates a pulse width variation coefficient based on a pulse width of an input clock signal, a delay value of the clock signal, and an operation frequency. The apparatus then calculates the pulse width for a delayed clock signal provided to a clock input terminal of a flip flop (FF) using the pulse width variation coefficient. Further, the apparatus compares the calculated pulse width with a standard value. The timing verification apparatus calculates the pulse width of the delayed clock signal provided to the clock input terminal of the FF using the pulse width of the clock signal, and a rise delay and a fall delay of the path. The apparatus considers on-chip variations and accurately executes timing verification for signals.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: November 20, 2007
    Assignee: Fujitsu Limited
    Inventor: Toshikatsu Hosono
  • Publication number: 20070244686
    Abstract: A calibration method of a mixed mode simulation calibrates standard delay times in a standard delay format and includes obtaining a digital output circuit from a digital circuit, obtaining an analog output circuit from an analog circuit, performing a simulation on the digital output circuit connected to the analog output circuit to obtain an ideal output, obtaining a first delay time according to the standard delay times of the digital output circuit, performing a calibrative analog-to-digital mixed mode simulation using the first delay time to obtain an analog-to-digital mixed output, comparing the ideal output and the analog-to-digital mixed output to calibrate the first delay time, and calibrating the standard delay times of the digital output circuit according to the calibrated first delay time.
    Type: Application
    Filed: July 7, 2006
    Publication date: October 18, 2007
    Inventors: Yaong-Jar Chang, Yung-Chieh Lin, Jung-Chi Ho, Pei-Wen Luo
  • Patent number: 7283942
    Abstract: The present invention provides techniques for high speed electrical simulation of circuits. According to one embodiment of the present invention, a delay path can be divided into sub-paths called simulation paths. Each simulation path is simulated separately to determine its contribution to the overall delay in the path. According to another embodiment of the present invention, linear and non-linear loads are modeled using linear circuit models to further increase the speed of the simulator. According to another embodiment, driver circuits are simulated using non-linear circuit models. Before a simulation is performed, sample input and output values for the non-linear models are computed and stored in memory. When a circuit design is simulated, the input and output values are accessed from the memory. Intermediate values are determined by interpolating from the values stored memory.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: October 16, 2007
    Assignee: Altera Corporation
    Inventor: David Lewis
  • Patent number: 7266488
    Abstract: A technique for performing signal integrity analysis of a system includes providing a stimulus pattern and a model of the system and performing analog simulation of the model utilizing the stimulus pattern. The stimulus pattern includes sequences of signal transitions with associated transition times and the sequences of signal transitions conform to a bus protocol and the associated transition times are according to characteristics of the system. The stimulus pattern is generated by initializing each of the sequences of signal transitions to an initial signal value and the associated transition times to an initial time, generating subsequent signal values and subsequent transition times by applying protocol rules and calculating timing adjustments for each of a list of transactions; the subsequent signal values and subsequent transition times to be added to the sequences of signal transitions.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: September 4, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Douglas E. Wallace, Jr., Jonathan P. Dowling
  • Patent number: 7260515
    Abstract: A method and apparatus for cycle-based simulation of a transparent latch includes classifying a phase of the transparent latch, classifying a phase of an input to the transparent latch, and classifying a phase of a simulation cycle. The transparent latch is simulated as a cycle-based simulation element based on the phase of the transparent latch, the phase of the input to the transparent latch, and the phase of the simulation cycle.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: August 21, 2007
    Assignee: Sun Microsystems, Inc.
    Inventor: Liang T. Chen
  • Publication number: 20070192079
    Abstract: Systems and methods for run-time switching for simulation with dynamic run-time accuracy adjustment. In one embodiment, a computer implemented method performs a simulation of a computer instruction executing on a simulated hardware design by a first simulation model, wherein the first simulation model provides first timing information of the simulation. The first timing information is stored to a computer usable media. A pending subsequent simulation of the instruction is detected. Responsive to the presence of the first timing information in the computer usable media, the computer instruction is simulated by a second simulation model, wherein the second simulation model provides less accurate second timing information of the simulation than the first simulation model. The simulation run time information is updated for the subsequent simulation with the first timing information.
    Type: Application
    Filed: February 16, 2007
    Publication date: August 16, 2007
    Inventors: Karl Van Rompaey, Andreas Wieferink
  • Patent number: 7254794
    Abstract: Method to determine path timing to and from an embedded device is described. More particularly, clock-to-output delays, interconnects and interconnect logic delays, and setup and hold times for input and output paths from a microprocessor core and a memory controller are obtained and determined, as applicable. These times are assembled in a spreadsheet for associating with respective signals. Times for each of the signals are totaled to determine respective path delays for comparison with a target clock period.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: August 7, 2007
    Assignee: Xilinx, Inc.
    Inventor: Richard P. Burnley
  • Patent number: 7246054
    Abstract: Lookback is defined as the ability of a logical process to change its past locally (without involving other logical processes). Logical processes with lookback are able to process out-of-timestamp order events, enabling new synchronization protocols for the parallel discrete event simulation. Two of such protocols, LB-GVT (LookBack-Global Virtual Time) and LB-EIT (LookBack-Earliest Input Time), are presented and their performances on the Closed Queuing Network (CQN) simulation are compared with each other. Lookback can be used to reduce the rollback frequency in optimistic simulations. The relation between lookahead and lookback is also discussed in detail. Finally, it is shown that lookback allows conservative simulations to circumvent the speedup limit imposed by the critical path.
    Type: Grant
    Filed: May 13, 2003
    Date of Patent: July 17, 2007
    Assignee: Rensselaer Polytechnic Institute
    Inventors: Boleslaw K. Szymanski, Gang Chen
  • Patent number: 7239997
    Abstract: A statistical delay simulation apparatus includes: a circuit simulator for simulating a circuit operation of a circuit cell constituting an LSI; a statistical delay library generator for driving the circuit simulator and generating, based on a process parameter and the like, a statistical delay library in which the dependency of a delay variation on a predetermined operation condition in each circuit cell is described; a delay calculator for calculating a delay amount of each circuit cell to generate a statistical LSI delay information file containing data on the calculated delay amount; and a static timing analyzer for simulating, based on data of the statistical LSI delay information file, an operation with a delay variation of the LSI to generate a statistical LSI delay analysis result file.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: July 3, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Hirokazu Yonezawa
  • Patent number: 7239996
    Abstract: Printed circuit board, ASIC, transistor group, or other circuit timing can be analyzed by symbolically modeling the circuit, simulating the behavior of the circuit, analyzing the behavior to catch timing violations. Routing constraints for critical traces can be made by using the analysis results as the input to a trace circuit router. Further timing verification of the printed circuit board, ASIC, transistor group, or other circuit layout may be accomplished by analyzing and modeling the interconnect delays of the traces, simulating the symbolic circuit model with the interconnect delay model, and analyzing the behavior of the circuit for timing violations.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: July 3, 2007
    Inventors: Arthur J. Boland, Richard M. Pier, William Matthew Hogan
  • Patent number: 7231336
    Abstract: In accordance with the present invention there is provided a method for performing a glitch check in simulating a circuit. Current maximum and minimum values for optimization parameters of the circuit are determined. Next, a signal pulse characteristic for the circuit simulation is determined based on the maximum and minimum optimization parameters. A current averaged optimization parameter is determined from the current maximum and minimum optimization parameters. A prime criterion parameter is calculated based on the optimization parameters and the signal pulse characteristic value. If the prime criterion parameter converges into a specified range then measurement results from the circuit simulation are parsed and reported as final. If the prime criterion parameter does not converge, then the process continues by recalculating the optimization parameters until the prime criterion parameter converges.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: June 12, 2007
    Assignee: Legend Design Technology, Inc.
    Inventors: You-Pang Wei, Yuhung Liao, Mingchi Liu, YuJiao Ping
  • Patent number: 7228262
    Abstract: An aspect of the present invention provides a semiconductor integrated circuit verification system that includes a compiler configured to receive circuit descriptions of a semiconductor integrated circuit to be verified and create a circuit database, a circuit analysis unit configured to receive the circuit database to analyze the circuitry inside the semiconductor integrated circuit based on the circuit database, the circuit analysis unit configured to determine the timing at which the abstraction level of the circuit is switched and generate a simulation object, and a simulation execution unit configured to receive the simulation object and conduct a simulation of the semiconductor integrated circuit based on the simulation object.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: June 5, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenichi Anzou, Chikako Tokunaga, Takashi Matsumoto
  • Patent number: 7224689
    Abstract: A method for routing a message from a source node to a destination node, where the source node and the destination node are connected by a plurality of nodes in a cycle-based system, is disclosed. The method includes generating a maze data structure including the plurality of nodes, where each of the plurality of nodes is associated with a dimension corresponding to time, and routing the message from the source node to the destination node using the dimension corresponding to time.
    Type: Grant
    Filed: July 17, 2002
    Date of Patent: May 29, 2007
    Assignee: Sun Microsystems, Inc.
    Inventor: Jay R. Freeman
  • Patent number: 7222319
    Abstract: A timing analysis apparatus reads a net list including connection information and the like of circuit cells of an LSI, delay data for previously storing delay information of the circuit cells, stage count-derating factor dependency and components P, V and T of a derating factor; detects the number of stages of each signal path by a signal path cell counting section; determines a derating factor corresponding to the extent of averaging of random variation of each signal path in accordance with the number of stages of the signal path; and performs timing analysis on the basis of the determined derating factor. Therefore, more realistic and highly accurate timing design can be performed on a large-scale circuit.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: May 22, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Hirokazu Yonezawa
  • Patent number: 7219048
    Abstract: Aspects of the present invention include a methodology for the general timing-driven iterative refinement-based approach, a timing-driven optimization (TDO) method that optimizes the circuit depth after the area oriented logic optimization, and a layout-driven synthesis flow that integrates performance-driven technology mapping and clustering with TDO to account for the effect of mapping and clustering during the timing optimization procedure of TDO. The delay reduction process recursively reduces the delay of critical fanins of a selected. Furthermore, in one embodiment, the fanins of the selected node are sorted according to their slack values.
    Type: Grant
    Filed: January 2, 2001
    Date of Patent: May 15, 2007
    Assignee: Magma Design Automation, Inc.
    Inventor: Songjie Xu
  • Patent number: 7219320
    Abstract: OCV coefficients in a path being an analysis target according to the number of gate stages are calculated in a coefficient arithmetically operating unit by canceling off a variation in delay in each gate in accordance with the number of gate stages in the target path, and timing analysis of the target path is performed in a timing analysis unit by using the OCV coefficient with the number of gate stages being considered, whereby a variation degree in the entire path is reduced in accordance with the number of gate stages in the target path, thus making it possible to carry out accurate timing analysis in consideration of the variation in a chip of a semiconductor integrated circuit.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: May 15, 2007
    Assignee: Fujitsu Limited
    Inventors: Tetsuo Kawano, Satoru Yoshikawa, Toshikatsu Hosono, Shigenori Ichinose, Takashi Yoneda
  • Patent number: 7216315
    Abstract: For the purpose of readily specifying a portion of the circuit which has a high possibility of error occurring due to a variation in the supply voltage so that the specified vulnerable portion is countermeasured in a mask layout process, a simulation section simulates the operation of a semiconductor integrated circuit to obtain a transition timing of an input signal that is input to each circuit element. A simultaneous-operation circuit element number detecting section detects, based on a result of the simulation, the number of circuit elements which are supplied with the supply voltage through a common power supply line and in which transition timings of input signals occur within a predetermined time interval (e.g., 0.3 ns or shorter). A supply voltage variation level estimating section estimates the variation level of the supply voltage according to the number of circuit elements which is detected by the simultaneous-operation circuit element number detecting section.
    Type: Grant
    Filed: January 6, 2004
    Date of Patent: May 8, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Takaki Yoshida
  • Patent number: 7216320
    Abstract: Systems and methods for timing-driven shape closure in integrated circuit (“IC”) fabrication are provided. These Integrated Design-Manufacturing Processes (“IDMP”) include a delta flow that integrates information of the IC fabrication timing and geometry verification processes into the IC design. The delta flow is an incremental flow that includes delta-geometry timing prediction processes and/or delta-timing shape prediction processes for processing difference information associated with circuit characterization parameters. The delta flow independently re-characterizes an IC design using the difference or delta information corresponding to the circuit characterization parameters. The delta flow provides delta outputs (incremental) that enhance or re-characterize corresponding parameters of the devices and interconnect structures without the need to generate new circuit characterization parameters and without the need to re-process all information of the IC design.
    Type: Grant
    Filed: November 8, 2004
    Date of Patent: May 8, 2007
    Assignee: Clear Shape Technologies, Inc.
    Inventors: Li-Fu Chang, Yao-Ting Wang, Fang-Cheng Chang
  • Patent number: 7213223
    Abstract: A method and computer readable storage medium for estimating total path delay in an integrated circuit design include of receiving as input a number of stage delays and stage delay variations constituting a path in an integrated circuit design. A sum of the stage delays, a worst case sum of the stage delay variations, and a root-sum-square of the stage delay variations are calculated. A a value of a weighting function is calculated as a function of the number of stage delays. A a weighted sum of the worst case sum of the stage delay variations and the root-sum-square of the stage delay variations is calculated from the weighting function. The weighted sum is generated as output to estimate total path delay.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: May 1, 2007
    Assignee: LSI Logic Corporation
    Inventor: Alexander Tetelbaum
  • Patent number: 7206958
    Abstract: Given two synchronous clocks which transact data from a transmitter element to a receiver element which are analyzed by static timing, the interval between the transmitting data launch clock edge and the receiving capture clock edge is adjusted from the clock waveforms provided in order to represent the worst case slack situation between these two clocks over time. The amount of this adjustment is determined without unrolling (enumerating) all possible launch/capture pairs for these clocks. The greatest common divisor (GCD) of a transmit clock frequency and a receive clock frequency is determined. An effective phase shift is determined by performing a MOD operation between the GCD and an offset of the transmitter and receiver clocks. An algorithm uses the GCD and effective phase shift to determine a launch/capture interval that corresponds to a critical slack condition.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: April 17, 2007
    Assignee: Sun Microsystems, Inc.
    Inventors: Jeannette N. Sutherland, Robert E. Mains, Matthew J. Amatangelo
  • Patent number: 7203632
    Abstract: Method and apparatus for simulating operations of a circuit design that includes high-level components and HDL components. The high-level components of the design are simulated in a high-level modeling system (HLMS), and the HDL components of the design are simulated with an HDL simulator. Data values are converted from a data type of the HLMS to a logic vector compatible with the HDL simulator for each data value to be input to the HDL simulator, and a logic vector is converted from the HDL simulator to a data value of a data type compatible with the HLMS for each logic vector output from the HDL simulator. Events are scheduled for input to the HDL simulator as a function of the time of HLMS events and a maximum response time of the HDL components.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: April 10, 2007
    Assignee: Xilinx, Inc.
    Inventors: Roger B. Milne, L. James Hwang, Jeffrey D. Stroomer, Nabeel Shirazi, Haibing Ma, Jonathan B. Ballagh
  • Patent number: 7200544
    Abstract: There is disclosed an IC simulation system operable to (i) store a plurality of HDL modules, each of which is representative of a circuit element, (ii) receive a HDL description of a desired circuit, and (iii) synthesize a circuit netlist as a function of the received HDL circuit description and ones of the plurality of HDL modules, the circuit netlist is responsible for defining behavioral relationships among associated ones of the HDL modules, and associate a timing-violation controller with the circuit netlist to ignore selected timing violations sensed as a function of various ones of the behavioral relationships during simulation of the desired circuit.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: April 3, 2007
    Assignee: National Semiconductor Corporation
    Inventor: Hal C. McCown
  • Patent number: 7197629
    Abstract: A method of computing overhead associated with executing instructions on an out-of-order processor which includes determining when a first instruction retires, determining when a second instruction retires, and calculating an overhead based upon subtracting when the first instruction retired from when the second instruction retired.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: March 27, 2007
    Assignee: Sun Microsystems, Inc.
    Inventor: Dominic Paulraj
  • Patent number: 7197445
    Abstract: A method (900) of modeling transactions and performing inertial rejection can include representing a plurality of scalar signals as one or more transaction objects, wherein each transaction object comprises a start index, an end index, values for each constituent scalar signal which correspond to an index within a range specified by the start index and end index inclusive, and a time at which the values are transacted. (400) The method further can include constructing and adding a new transaction object for the plurality of scalar signals (920) and comparing the new transaction object with at least one existing transaction object (925) wherein the at least one existing transaction object occurs earlier in time than the new transaction object and is within a rejection window. At least one of a start index and an end index of the at least one existing transaction object can be manipulated (975).
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: March 27, 2007
    Assignee: Xilinx, Inc.
    Inventors: Kumar Deepak, Jimmy Zhenming Wang, Wei Lin
  • Patent number: 7188146
    Abstract: In a navigation system in which the page data loaded from a server are displayed in a terminal, the server receiving the request for data loading from the terminal transmits the requested data if the data generation has ended, but, if not, estimates the end time and transmits the estimated end time together with display information indicating that the data generation is in progress. The terminal, issuing the request for data loading, displays the display data received in response to the request, and, if the estimated end time of data generation is received together with the display data, issues the request for data loading again when the estimated end time is reached. Thus efficient processing can be realized without wasted waiting time or wasted request for data loading in the terminal, in case the data generation requires a long time.
    Type: Grant
    Filed: December 7, 1999
    Date of Patent: March 6, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tsunehiro Tsukada
  • Patent number: 7184346
    Abstract: Various methods, apparatuses and systems in which a memory uses a noise reduction circuit to sense groups of memory cells. The memory has a plurality of memory cells organized into groups of memory cells. The noise reduction circuit performs a sense operation on a first group of memory cells at the substantially the same time. The noise reduction circuit performs a sense operation on a second group of memory cells at substantially the same time. The noise reduction circuit has timing circuitry to sense the second group of memory cells after the sense of the first group initiates but prior to the completion of the sense operation on the first group of memory cells.
    Type: Grant
    Filed: January 4, 2005
    Date of Patent: February 27, 2007
    Assignee: Virage Logic Corporation
    Inventors: Jaroslav Raszka, Vipin Kumar Tiwari
  • Patent number: 7177789
    Abstract: A simulator fitted with at least one microprocessor sends input simulation signals to a unit under test, and receives therefrom output signals in reaction thereto. The method consists in processing some of the output signals from the unit as they are issued by means of a programmable logic circuit, in storing parameter values corresponding to said processed signals, and in giving the microprocessor access to the stored parameter values at a frequency which is compatible with its own operating speed. The apparatus enables the method to be implemented. The simulator comprises at least one programmable logic circuit, e.g. of the FPGA type, that is suitable for receiving at least some of the signals output by the electronic unit.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: February 13, 2007
    Assignee: Alstom
    Inventor: Denis Miglianico
  • Patent number: 7167821
    Abstract: A performance prediction simulator gives effect to the resource contention among multiple resources in a simulated system by adjusting event durations appropriately. A resource topology tree defining the resource configuration of the system is input to the simulator. The simulator includes an evaluation engine that determines the amount of resource used during each simulation interval of the simulation and records the resource usage in a resource contention timeline, which can be displayed to a user. The amount of resource used during a simulation is also used to adjust the event duration calculations of the hardware models associated with each event.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: January 23, 2007
    Assignee: Microsoft Corporation
    Inventors: Jonathan C. Hardwick, Efstathios Papaefstathiou
  • Patent number: 7162389
    Abstract: An evaluation device, for evaluating a control unit (ECU) to which another control unit (ECU) is connected through a communication line and communicating with it, which can simulate even an unstable state such as when the other control unit does not start up right after power is turned on. The evaluation device is provided with a communication behavior simulating unit for simulating communication behavior of that other control unit and a switching unit for switching the communication behavior simulating means so as not to simulate the communication behavior. The communication behavior simulating unit is provided with an acknowledgement signal generating unit, and the switching unit disables the operation of the acknowledgement signal generating unit.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: January 9, 2007
    Assignee: Fujitsu-Ten Limited
    Inventors: Harunaga Uozumi, Kenichi Kinoshita
  • Patent number: 7162411
    Abstract: Data streams are generated for tracing target processor activity. When multiple streams are on, they are written at different times into their individual FIFO. It is possible that for a specific stream, the length and fields of the data that should be exported vary. This invention is a scheme to send out only the relevant fields.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: January 9, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Manisha Agarwala, Maria B. H. Gill, John M. Johnsen
  • Patent number: 7159160
    Abstract: A simultaneous switching noise (SSN) test circuit and method are provided for measuring effects of SSN. Prior to testing for SSN, a signal is applied to the victim signal input pad and the rise and fall time delays associated with the victim signal are measured at the victim signal output pad. Then, one or more aggressor signals are simultaneously applied to respective input pads of one or more respective aggressor signal paths. The rise and fall time delays of the victim signal transmitted by the output pad are then measured and compared to the previously measured rise and fall time delays to determine effects of SSN on the victim signal caused by the aggressor signals.
    Type: Grant
    Filed: June 21, 2004
    Date of Patent: January 2, 2007
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventors: Gilbert Yoh, Manuel Salcido, Stan Perino
  • Patent number: 7155691
    Abstract: A system for analyzing an electronic circuit includes a computer. The computer obtains a description of the electronic circuit. The computer further analyzes the electronic circuit by using compiled static timing analysis (CSTA). Specifically, in one embodiment, the computer is configured to compile a timing model for the circuit responsive to the description of the circuit. The timing model comprises a description of a timing path and a description of an algorithm to evaluate the timing path. The computer is further configured to analyze a design that includes the circuit, wherein the analyzing comprises evaluating the timing model, and wherein evaluating the timing model comprises performing the algorithm to evaluate the timing path.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: December 26, 2006
    Assignee: Nascentric, Inc.
    Inventor: Curtis Ratzlaff
  • Patent number: 7149676
    Abstract: The performance of a system is simulated in a method comprising: performing simulation in a first simulation mode for at least a first portion of code that models at least a portion of the system; and performing simulation in a second simulation mode for at least a second portion of code that models at least a portion of the system.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: December 12, 2006
    Assignee: Renesas Technology Corporation
    Inventor: Sivaram Krishnan
  • Patent number: 7139691
    Abstract: Ground bounce noise in a digital system is evaluated using a weighted average simultaneous switching output (“WASSO”) on an I/O bank of a digital switching device, such as a field programmable gate array (“FPGA”). The WASSO allows a designer to normalize output drivers having different characteristics on a single I/O bank. In a further embodiment, a simultaneous switching output allowance (“SSO allowance”) is calculated using scaling factors derived from values assumed in the creation of published SSO information and predicted actual values of the device in a digital system that are not represented in tables of published SSO guidelines. The SSO allowance is used in conjunction with WASSO values of adjacent I/O banks to evaluate ground bounce for adjacent I/O banks.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: November 21, 2006
    Assignee: Xilinx, Inc.
    Inventor: Anthony T. Duong
  • Patent number: 7133819
    Abstract: Provided is a method for estimating delay data comprising receiving an electronic representation of a source electronic design, estimating the criticality of connections which have not yet been placed across a boundary based on statistical data received from at least one other design and revising the design in a manner that biases the design towards a state in which connections with the highest criticality have their delays minimized. A statistical estimate is generated for uncut connections on a path in a partially placed source design comprising receiving at least one source design, partitioning the design, and generating statistical data corresponding to each type of partitioning cut.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: November 7, 2006
    Assignee: Altera Corporation
    Inventor: Michael D. Hutton
  • Patent number: 7133817
    Abstract: A method of verifying a digital hardware design simulated in a hardware design language (HDL). States to be verified are defined, including signal values for each component within the hardware design. A test is applied to the hardware design, such that traces of internal signals within the hardware design are recorded. Each trace includes signal data, time data and at least the internal signals associated with the components. The traces are processed to ascertain whether the plurality of components simultaneously had the signal values associated with the state, thereby to ascertain whether the state was achieved.
    Type: Grant
    Filed: February 12, 2002
    Date of Patent: November 7, 2006
    Assignee: STMicroelectronics Limited
    Inventor: Nicholas Pavey
  • Patent number: 7133821
    Abstract: A method of scheduling trace packets in an integrated circuit generating trace packets of plural types stores trace data in respective first-in-first-out buffers. If a timing trace data first-in-first-out buffer is empty, timing trace data packet is transmitted. If a program counter overall data first-in-first-out buffer is not empty and the processor is at a data interruptible boundary, a program counter data packet is transmitted. If data first-in-first-out buffer is not empty, a data packet is transmitted. The program counter data packets include program counter sync data, program counter exception data, program counter relative branch data and program counter absolute branch data.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: November 7, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Manisha Agarwala, Maria B. H. Gill
  • Patent number: 7131093
    Abstract: In a first aspect, a first method of creating a programmable link delay during cycle simulation of a system is provided. The first method includes the steps of (1) modeling a system for cycle simulation, wherein the system includes (a) a plurality of links; (b) link transmitting logic adapted to transmit data on the plurality of links; (c) link receiving logic adapted to receive data from the plurality of links; and (d) link training logic coupled to the link receiving logic and adapted to compensate for skew between links; and (2) employing delay logic, coupled to the plurality of links, in the modeled system to create a known skew between links during cycle simulation. Numerous other aspects are provided.
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: October 31, 2006
    Assignee: International Business Machines Corporation
    Inventor: Steven George Aden
  • Patent number: 7131088
    Abstract: In accordance with the present invention there is provided a method for determining an optimized parameter for a circuit simulation. A circuit path for the simulation is determined, and maximum and minimum optimization parameters are decided. Next, the circuit path is simulated using the maximum optimization parameter. The circuit path is simulated using the minimum optimization parameter and a primary criteria parameter is also calculated. The simulations are compared to determine whether the same status (both succeed or both fail) is generated for both the minimum optimization parameter and the maximum optimization parameter. If the simulations do not indicate the same status, then the optimization parameter is recalculated and the circuit is simulated until the primary criteria parameter converges to a prescribed value.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: October 31, 2006
    Assignee: Legend Design Technology, Inc.
    Inventors: You-Pang Wei, Yuhung Liao, Mingchi Liu, YuJiao Ping
  • Patent number: 7130915
    Abstract: This solution uses a statistical characterization of the transaction to predict the total effect on response time of each network component.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: October 31, 2006
    Assignee: Compuware Corporation
    Inventor: Joseph Rustad
  • Patent number: 7127385
    Abstract: The operating characteristic of a transistor, modeled by a resistive element having fixed resistance and a power source voltage that varies with time, is segmented into a linearity region in which a current increases as a gate potential varies and a saturation region in which the current gradually decreases as the gate potential remains at a constant level, so that gradual decrease in current in a saturation region of the transistor is properly reflected and a delay time is estimated in a precise manner.
    Type: Grant
    Filed: June 13, 2001
    Date of Patent: October 24, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Michio Komoda, Shigeru Kuriyama
  • Patent number: 7100132
    Abstract: A translator tool for translating simulation test data generated to test clock recovery circuitry of a device from an event-based format to a cycle-based format readable by integrated circuit testers is presented. The simulation test data includes test timing irregularities intentionally injected into a serial data signal that will be processed by the clock recovery circuitry of the device under test. The translator tool includes a normalization function that extracts the intentionally injected timing irregularities from the event-based test data and generates corresponding normalized event-based test data without the extracted timing irregularities. The translator tool includes a cyclization engine that cyclizes the normalized event-based test data to generate corresponding cycle-based test data without the timing irregularities.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: August 29, 2006
    Assignee: Agilent Technologies, Inc.
    Inventors: Andrew S. Hildebrant, David Dowding
  • Patent number: 7096443
    Abstract: A method of determining the critical path of a circuit includes first determining the paths, their mean path transit times and their path transit time fluctuations. Paths having similar statistical parameters are combined to form one path group. For each path group, a statistical group figure is, then, calculated and, for the totality of paths considered, a statistical total figure is calculated. Finally, the critical paths of the circuit are determined by taking into consideration the total figure, comparing the group figures at or above a critical path transit time Tc.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: August 22, 2006
    Assignee: Infineon Technologies AG
    Inventors: Jörg Berthold, Henning Lorch, Martin Eisele
  • Patent number: 7085704
    Abstract: Methods and apparatus for hardware scheduling processes handling are presented. The apparatus includes a table of task lists. Each task list has specifications of processes requiring handling during a corresponding time interval. Each task list is parsed by a scheduler during a corresponding interval and the processes specified therein are handled. The methods of process handling may include a determination of a next time interval in which the process requires handling and inserting of process specifications in task lists corresponding to the determined next handling times. Implementations are also presented in which task lists specify work units requiring handling during corresponding time intervals. The entire processing power of the scheduler is used to schedule processes for handling. Advantages are derived from an efficient use of the processing power of the scheduler as the number of processes is increased.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: August 1, 2006
    Assignee: Zarlink Semicorporation V.N. Inc.
    Inventors: James Yik, Craig Barrack
  • Patent number: 7079997
    Abstract: A debugger produces a display based on instructions executed by a circuit simulator or verification tool and on waveform data produced by the simulator or verification tool when executing the instructions. The instructions include a set of statements, each corresponding to a separate circuit signal generated by a circuit and each including a function defining a value of the circuit signal as a function of values of other circuit signals. The simulator evaluates the statements at various simulation times to compute signal values at those simulation times. The waveform data indicates signal values the simulator computes when evaluating the statements. The debugger display includes a set of statement event symbols, each corresponding to a separate evaluation of a statement and each positioned in the display to indicate a simulation time at which the simulator evaluated the statement.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: July 18, 2006
    Assignee: Novas Software, Inc.
    Inventors: Yu-Chin Hsu, Furshing Tsai, Yirng-An Chen, Kunming Ho, Tayung Liu, Chieh Changfan, Wells Woei-Tzy Jong