Interface Patents (Class 712/29)
  • Patent number: 11868250
    Abstract: A processor having a functional slice architecture is divided into a plurality of functional units (“tiles”) organized into a plurality of slices. Each slice is configured to perform specific functions within the processor, which may include memory slices (MEM) for storing operand data, and arithmetic logic slices for performing operations on received operand data. The tiles of the processor are configured to stream operand data across a first dimension, and receive instructions across a second dimension orthogonal to the first dimension. The timing of data and instruction flows are configured such that corresponding data and instructions are received at each tile with a predetermined temporal relationship, allowing operand data to be transmitted between the slices of the processor without any accompanying metadata. Instead, each slice is able to determine what operations to perform on received data based upon the timing at which the data is received.
    Type: Grant
    Filed: January 24, 2022
    Date of Patent: January 9, 2024
    Assignee: Groq, Inc.
    Inventors: Jonathan Alexander Ross, Dennis Charles Abts, John Thompson, Gregory M. Thorson
  • Patent number: 11775464
    Abstract: A computer system is provided. The computer system includes a hub board, a common bus, and a plurality of Sibling boards. The hub board has an I/O controller hub, which includes a main communication chipset. The plurality of Sibling boards is coupled to the hub board by the common bus. Each of the Sibling boards includes a memory and at least one CPU. The memory is operative to host a Sibling operating system. The CPU is coupled to the memory. The Southbridge type chipset which resides in the hub board is shared amongst the plurality of Sibling boards. At least one of the plurality of Sibling boards functions as a master processing unit of the system. Sibling boards offer processing flexibility through the means of how they are configured in the system.
    Type: Grant
    Filed: September 11, 2020
    Date of Patent: October 3, 2023
    Inventor: Jonathan Glickman
  • Patent number: 11704270
    Abstract: A network comprising interconnected first and second processors, each processor comprising one or more of: multiple processing units arranged on a chip configured to execute program code; an on-chip interconnect comprising groups of exchange paths connected to receive data from corresponding groups of the processing units; external interfaces configured to communicate data off-chip as packets, each having a destination address, external interfaces of the first and second processors being connected by an external link; multiple exchange blocks, each connected to groups of the exchange paths; a routing bus configured to route packets between the exchange blocks and the external interfaces. Processing units of the first processor generate off-chip packets such that the group of processing units serviced by the first exchange block on the first processor address off-chip packets to the group of processing units on the second processor serviced by the corresponding first exchange block of the second processor.
    Type: Grant
    Filed: July 13, 2021
    Date of Patent: July 18, 2023
    Assignee: GRAPHCORE LIMITED
    Inventors: Simon Knowles, Hachem Yassine
  • Patent number: 11531607
    Abstract: According to certain embodiments, a system includes one or more processors and one or more computer-readable non-transitory storage media comprising instructions that, when executed by the one or more processors, cause one or more components to perform operations including executing a software process of a secondary instance, the secondary instance running in parallel with a primary instance and associated with a plurality of cores including a bootstrap core, registering a non-maskable interrupt for the bootstrap core in the secondary instance, determining whether the secondary instance is in a fault state, wherein, if the secondary instance is in the fault state, halting the plurality of cores associated with the secondary instance, without impact to the primary instance, and recovering the bootstrap core by switching a context of the bootstrap core from the secondary instance to the primary instance via the non-maskable interrupt.
    Type: Grant
    Filed: April 21, 2020
    Date of Patent: December 20, 2022
    Assignee: CISCO TECHNOLOGY, INC.
    Inventors: Amit Chandra, Nivin Lawrence, Etienne Martineau
  • Patent number: 11436379
    Abstract: A method for securing one or more cells of a dynamic random-access memory (DRAM) device embedded in a system includes: (1) triggering, by one of a boot loader, an operating system (OS) and an application, a system management interrupt (SMI), (2) invoking, by a basic input/output system (BIOS), a BIOS SMI handler, (3) converting a physical address of secure data to a DRAM address using a reliability, availability and serviceability (RAS) protocol of a BIOS, and (4) performing a write protect operation on the secure data present in the DRAM device by issuing a device-supported security command in a BIOS SMI service routine.
    Type: Grant
    Filed: August 26, 2019
    Date of Patent: September 6, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Raghu Vamsi Krishna Talanki, Krishna Mogilipuvvu
  • Patent number: 11422804
    Abstract: A processing-in-memory (PIM) device includes a data storage region and an arithmetic circuit. The data storage region is configured to store first data and second data. The arithmetic circuit includes a multiplier for performing a multiplying calculation of the first data and the second data. The arithmetic circuit is configured to perform a multiplication/accumulation (MAC) arithmetic operation of the first data and the second data. The arithmetic circuit includes a zero-detection circuit configured to disable input of the multiplier and to output zero data including multiple bits having a value of ‘0’ as output data of the multiplier, when all bits included in at least one of the first data and the second data have a value of ‘0’.
    Type: Grant
    Filed: January 11, 2021
    Date of Patent: August 23, 2022
    Assignee: SK hynix Inc.
    Inventors: Mun Gyu Son, Choung Ki Song
  • Patent number: 11392409
    Abstract: In an embodiment, an operating system for a computer system includes a kernel that assigns code sequences to execute on various processors. The kernel itself may execute on a processor as well. Specifically, in one embodiment, the kernel may execute on a processor with a relatively low instructions per clock (IPC) design. At least a portion of other processors in the system may have higher IPC designs, and processors with higher IPC designs may be used to execute some of the code sequences. A given code sequence executing on a processor may queue multiple messages to other code sequences, which the kernel may asynchronously read and schedule the targeted code sequences for execution in response to the messages. Rather than synchronously preparing a message and making a call to send the message, the executing code sequences may continue executing and queuing messages until the code has completed or is in need of a result from one of the messages.
    Type: Grant
    Filed: June 26, 2018
    Date of Patent: July 19, 2022
    Assignee: Apple Inc.
    Inventors: Shawn R. Woodtke, Peter H. van der Veen, Stephen J. McPolin
  • Patent number: 11343081
    Abstract: An HSM cluster includes a set of hardware security modules that maintain a set of cryptographic keys that are synchronized across the HSM cluster. Individual applications running on client computer systems access the HSM cluster using HSM cluster clients running on the client computer systems. The HSMs are accessed via a set of HSM cluster servers that monitor the synchronization of the cryptographic keys. Synchronization of the HSMs is maintained by the HSM cluster clients. The HSM cluster clients replicate key-addition and key-deletion operations across the HSM cluster. When a new key is created by a particular HSM, a prefix associated with the particular HSM is added to the identifier associated with the new key to avoid key-namespace collisions. If the set of cryptographic keys becomes unsynchronized across the HSM cluster, applications may continue read-only cryptographic operations while the HSM cluster is resynchronized by the HSM cluster clients.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: May 24, 2022
    Assignee: Amazon Technologies, Inc.
    Inventors: Benjamin Philip Grubin, Benjamin Samuel
  • Patent number: 11307862
    Abstract: A data processing system includes a processor operable to execute a program partitioned into a number of discrete instructions, the processor having multiple processing elements each capable of executing more than one instruction per cycle, and an interface configured to read a first program and, on detecting a branch operation by that program creating m number of branches each having a different sequence of instructions, combine an instruction from one of the branches with an instruction from at least one other branch so as to cause a processing element to execute the combined instructions during a single cycle.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: April 19, 2022
    Assignee: Imagination Technologies Limited
    Inventor: Jung-Wook Park
  • Patent number: 11068313
    Abstract: Decomposing and migrating applications by receiving a candidate application, identifying components of the candidate application, analyzing the granularity of components, decomposing a component into sub-components; identifying cloud service provider offerings, mapping the cloud service provider offerings to a technology mapping database, collecting performance information on the cloud service provider offerings, estimating performance associated with deploying sub-components across cloud service provider offerings; and ranking the performance of different deployment scenarios.
    Type: Grant
    Filed: February 7, 2019
    Date of Patent: July 20, 2021
    Assignee: International Business Machines Corporation
    Inventors: Pedro Fontanari Filho, Sergio Varga, Ronaldo Pires, Thiago Rodrigues de Souza Costa
  • Patent number: 10901792
    Abstract: There is provided mechanisms for distributing resource units among instances of actors. A method is performed by a requesting runtime environment. The method comprises providing, to responding runtime environments, a request for resource units to be used by at least one of the instances when run by the requesting runtime environment. The method comprises obtaining, from the responding runtime environments, indications of amount of resource units made available from a respective one of the responding runtime environments to the requesting runtime environment. The method comprises determining, based on the obtained indications, individual amounts of resource units required by the requesting runtime environment from each of the responding runtime environments. The method comprises indicating, to the responding runtime environments, said individual amounts of resource units required by the requesting runtime environment.
    Type: Grant
    Filed: November 29, 2016
    Date of Patent: January 26, 2021
    Assignee: TELEFONAKTIEBOLAGET LM ERICSSON (PUBL)
    Inventors: Harald Gustafsson, Fredrik Svensson
  • Patent number: 10880236
    Abstract: Communication apparatus includes multiple ports configured to serve as ingress and egress ports, such that the ingress ports receive packets from a packet data network for forwarding to respective egress ports. The ports include an egress port configured for connection to a network interface controller (NIC) serving multiple physical computing units, which have different, respective destination addresses and are connected to the NIC by different, respective communication channels. Control and queuing logic is configured to queue the packets that are received from the packet data network for forwarding to the multiple physical computing units in different, respective queues according to the destination addresses, and to arbitrate among the queues so as to convey the packets from the queues via the same egress port to the NIC, for distribution to the multiple physical computing units over the respective communication channels.
    Type: Grant
    Filed: October 18, 2018
    Date of Patent: December 29, 2020
    Assignee: MELLANOX TECHNOLOGIES TLV LTD.
    Inventors: Lion Levi, Eitan Zahavi, Amiad Marelli, George Elias, Liron Mula, Oded Zemer, Sagi Kuks, Barak Gafni, Gal Shohet, Harold Rosenstock
  • Patent number: 10878526
    Abstract: An image processing apparatus that performs a partial process with respect to each piece of divided image data obtained by dividing an input image expressed by input image data into partial regions using each object of an object group in which plural objects for executing image processing are connected to each other in a directed acyclic graph form, including: an assignment section that assigns a dependency relationship of processes to the partial processes between the connected the objects and assigns a priority to each partial process; a registration section that arranges executable partial processes on the basis of the dependency relationship in accordance with the assigned priorities and registers the result in an executable partial process list; and an execution section that executes the partial processes in a descending order of the priorities in the executable partial process list registered by the registration section.
    Type: Grant
    Filed: September 19, 2018
    Date of Patent: December 29, 2020
    Assignees: FUJIFILM CORPORATION, FUJI XEROX CO., LTD.
    Inventors: Kazuyuki Itagaki, Kosei Takemoto, Takashi Nagao
  • Patent number: 10867647
    Abstract: A marching memory includes an alternating periodic array of odd-numbered columns (U1, U2, . . . , Un?1, Un) and even-numbered columns (Ur1, Ur2, . . . , Urn?1, Urn). Each of the odd-numbered columns (U1, U2, . . . , Un?1, Un) has a sequence of front-stage cells aligned along a column direction so as to store a set of moving information of byte size or word size. And each of the even-numbered columns (Ur1, Ur2, . . . , Urn?1, Urn) has a sequence of rear-stage cells aligned along a column direction so as to store the set of moving information, so that the set of moving information can be transferred synchronously, step by step, along a direction orthogonal to the column direction.
    Type: Grant
    Filed: January 23, 2019
    Date of Patent: December 15, 2020
    Inventors: Tadao Nakamura, Michael J. Flynn
  • Patent number: 10832371
    Abstract: An apparatus comprising a sorting unit to sort primitives of a graphics image, the primitives to be grouped, each group to form a first level node of a hierarchical acceleration structure; a parallel reconfigurable clustering array to construct the hierarchical acceleration structure, the parallel reconfigurable clustering array comprising a plurality of processing clusters, each cluster comprising: parallel efficiency analysis circuitry to evaluate different groupings of the first level nodes for a next level of the hierarchical acceleration structure to determine efficiency values for the different groupings; and node merge circuitry to merge the first level nodes based on the efficiency values to form second level nodes.
    Type: Grant
    Filed: December 28, 2018
    Date of Patent: November 10, 2020
    Assignee: Intel Corporation
    Inventors: Michael Doyle, Travis Schluessler, Gabor Liktor, Atsuo Kuwahara, Jefferson Amstutz
  • Patent number: 10659318
    Abstract: In one embodiment, a processor-readable medium can be configured to store code representing instructions to be executed by a processor. The code can include code to receive a request to change a value representing a number of data center units included in a set of data center units assigned to a user. Each of the data center units from the set of data center units can be associated with hardware resources managed based on a set of predefined hardware resource limit values. The code can include code to determine, in response to the request, whether hardware resources of a data center unit mutually exclusive from hardware resources of the set of data center units and managed based on the set of predefined resource limit values is available for assignment to the user when the request to change is an increase request.
    Type: Grant
    Filed: November 30, 2017
    Date of Patent: May 19, 2020
    Assignee: Virtustream IP Holding Company LLC
    Inventors: Julian J. Box, Kevin D. Reid, Karl J. Simpson
  • Patent number: 10600147
    Abstract: A mechanism is described for facilitating efficient memory layout for enabling smart data compression in machine learning environments. A method of embodiments, as described herein, includes facilitating dividing an initial tile representing an image into primary multiple tiles such that each tile of the primary multiple tiles is regarded as an independent image as processed by one or more processors of a computing device. The method may further include computing the primary multiple tiles into secondary multiple tiles compatible in size of a local buffer. The method may further include merging the multiple secondary multiple tiles into a final tile representing the image, and compressing the final tile.
    Type: Grant
    Filed: August 22, 2017
    Date of Patent: March 24, 2020
    Assignee: INTEL CORPORATION
    Inventors: Bharat Daga, Ajit Singh, Pradeep Janedula
  • Patent number: 10521260
    Abstract: A high performance computing (HPC) system has an architecture that separates data paths used by compute nodes exchanging computational data from the data paths used by compute nodes to obtain computational work units and save completed computations. The system enables an improved method of saving checkpoint data, and an improved method of using an analysis of the saved data to assign particular computational work units to particular compute nodes. The system includes a compute fabric and compute nodes that cooperatively perform a computation by mutual communication using the compute fabric. The system also includes a local data fabric that is coupled to the compute nodes, a memory, and a data node. The data node is configured to retrieve data for the computation from an external bulk data storage, and to store its work units in the memory for access by the compute nodes.
    Type: Grant
    Filed: July 14, 2017
    Date of Patent: December 31, 2019
    Assignee: HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP
    Inventors: Steven J. Dean, Michael Woodacre, Randal S. Passint, Eric C. Fromm, Thomas E. McGee, Michael E. Malewicki, Kirill Malkin
  • Patent number: 10490117
    Abstract: The present embodiments relate to a reception device that enables accurate separation of video data and SYNC data sent out from a transmission device in accordance with a data enable (DE) signal, from among reception data even if the reception data deteriorates due to noise. The reception device separates the video data and the SYNC data from the reception data in accordance with the DE signal reproduced using a detection result of the BS data and the BE data representing a transition timing of a signal level of the DE signal and a prediction result of detection timings of the BS data and the BE data or a prediction result of the transition timing of the DE signal.
    Type: Grant
    Filed: August 7, 2017
    Date of Patent: November 26, 2019
    Assignee: THINE ELECTRONICS, INC.
    Inventors: Yusuke Murata, Satoshi Miura
  • Patent number: 10255077
    Abstract: An apparatus and method are described for executing both latency-optimized execution logic and throughput-optimized execution logic on a processing device. For example, a processor according to one embodiment comprises: latency-optimized execution logic to execute a first type of program code; throughput-optimized execution logic to execute a second type of program code, wherein the first type of program code and the second type of program code are designed for the same instruction set architecture; logic to identify the first type of program code and the second type of program code within a process and to distribute the first type of program code for execution on the latency-optimized execution logic and the second type of program code for execution on the throughput-optimized execution logic.
    Type: Grant
    Filed: August 2, 2016
    Date of Patent: April 9, 2019
    Assignee: Intel Corporation
    Inventors: Oren Ben-Kiki, Yuval Yosef, Ilan Pardo, Dror Markovich
  • Patent number: 10230862
    Abstract: An information processing system includes: a first unit that receives an operation input; and a second unit that is formed independently from the first unit and operates in accordance with the operation input, wherein the first unit includes a common managing unit that, with regard to an additional application that is an application that may be added to the first unit, controls import or export of first setting information about a setting of the additional application; and a setting managing unit that, with regard to an internal application that is an application previously installed in the first unit, controls import or export of second setting information about a setting of the internal application, communicates with the common managing unit, combines the second setting information and the first setting information, and transmits combined information to the second unit.
    Type: Grant
    Filed: October 21, 2016
    Date of Patent: March 12, 2019
    Assignee: Ricoh Company, Ltd.
    Inventor: Hajime Kubota
  • Patent number: 10169087
    Abstract: Techniques for preserving memory affinity in a computer system is disclosed. In response to a request for memory access to a page within a memory affinity domain, a determination is made if the request is initiated by a processor associated with the memory affinity domain. If the request is not initiated by a processor associated with the memory affinity domain, a determination is made if there is a page ID match with an entry within a page migration tracking module associated with the memory affinity domain. If there is no page ID match, an entry is selected within the page migration tracking module to be updated with a new page ID and a new memory affinity ID. If there is a page ID match, then another determination is made whether or not there is a memory affinity ID match with the entry with the page ID field match. If there is no memory affinity ID match, the entry is updated with a new memory affinity ID; and if there is a memory affinity ID match, an access counter of the entry is incremented.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: January 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Mathew Accapadi, Robert H. Bell, Jr., Men-Chow Chiang, Hong L. Hua
  • Patent number: 9930200
    Abstract: An information processing system includes: an operation unit that receives an operational input; and an main body unit that operates depending on the operational input, wherein, the operation unit includes a first setting manager that transmits, to the main body unit, first setting information in which setting information of at least one operation unit application which is an application working in the operation unit is integrated and the main body unit includes a second setting manager that outputs second setting information in which setting information of at least one main body unit application which is an application working in the main body unit and the first setting information is integrated.
    Type: Grant
    Filed: November 2, 2015
    Date of Patent: March 27, 2018
    Assignee: RICOH COMPANY, LTD.
    Inventor: Hajime Kubota
  • Patent number: 9811498
    Abstract: A method and apparatus are provided for implementing modal selection of a bimodal coherent accelerator in a computer system. Implementing modal selection of a bimodal coherent accelerator using a PCI-Express standard Vendor Specific Extended Capability (VSEC) structure or CAPI VSEC data in the configuration space of a CAPI-capable PCIE adapter and procedures defined in the Coherent Accelerator Interface Architecture (CAIA) to enable and control a coherent coprocessor adapter over PCIE. A CAPI-capable PCIE adapter is enabled to be bimodal and operate in conventional PCI-Express (PCIE) transaction modes or CAPI modes that utilize CAIA coherence and programming interface capabilities.
    Type: Grant
    Filed: April 27, 2015
    Date of Patent: November 7, 2017
    Assignee: International Business Machines Corporation
    Inventors: Charles R. Johns, Andrew T. Koch, Gregory M. Nordstrom
  • Patent number: 9699067
    Abstract: A communication network includes multiple nodes, which are arranged in groups such that the nodes in each group are interconnected in a bipartite topology and the groups are interconnected in a mesh topology. The nodes are configured to convey traffic between source hosts and respective destination hosts by routing packets among the nodes on paths that do not traverse any intermediate hosts other than the source and destination hosts.
    Type: Grant
    Filed: July 22, 2014
    Date of Patent: July 4, 2017
    Assignee: MELLANOX TECHNOLOGIES, LTD.
    Inventors: Zachy Haramaty, Benny Koren, Eitan Zahavi, Barak Gafni, Tamir Ronen
  • Patent number: 9501132
    Abstract: A processor includes a core with locally-gated circuitry, a decode unit, a local power gate (LPG) coupled to the locally-gated circuitry, and an execution unit. The decode unit includes logic to decode a store broadcast instruction of a specified width. The LPG includes logic to selectively provide power to the locally-gated circuitry, activate power to a first portion of the locally-gated circuitry for execution of full cache-line memory operations, and deactivate power to a second portion of the locally-gated circuitry the locally-gated circuitry. The execution unit includes logic to execute, by the first portion of the locally-gated circuitry for execution of full cache-line memory operations, the store broadcast instruction, the store broadcast instruction to store data of the specified width to storage of the processor.
    Type: Grant
    Filed: August 6, 2014
    Date of Patent: November 22, 2016
    Assignee: Intel Corporation
    Inventors: Michael Mishaeli, Stanislav Shwartsman, Gal Ofir, Yulia Kurolap
  • Patent number: 9459870
    Abstract: A data processor includes: a plurality of controllers that process data; a program memory that stores a standby instruction and a data processing instruction at a plurality of addresses respectively; and a queue that stores different execution start addresses for the plurality of controllers, wherein after the plurality of controllers sequentially access the queue, the plurality of controllers acquire the different execution start addresses from the queue in an order of the sequential access, start execution of instructions from the acquired different execution start addresses in the program memory, and execute the data processing instruction and execute the standby instruction the number of times different for each of the controllers.
    Type: Grant
    Filed: September 29, 2014
    Date of Patent: October 4, 2016
    Assignee: FUJITSU LIMITED
    Inventors: Toshiya Otomo, Koichiro Yamashita, Takahisa Suzuki, Hiromasa Yamauchi, Koji Kurihara, Yuta Teranishi
  • Patent number: 9424192
    Abstract: A mechanism is provided for memory coherence in a multiple processor system. Responsive to a memory operation from a processing core of the multiple processor system resulting in a cache miss, the mechanism checks a private region table associated with the processing core. The memory operation attempts to access a memory region. Responsive to determining the memory region corresponds to an entry in the private region table, the mechanism performs a remote memory controller snoop of a remote memory controller without snooping the multiple processor system.
    Type: Grant
    Filed: April 2, 2015
    Date of Patent: August 23, 2016
    Assignee: International Business Machines Corporation
    Inventors: David M. Daly, Vijayalakshmi Srinivasan
  • Patent number: 9415514
    Abstract: A robot monitoring system for monitoring and analyzing robot related data and displaying the data on a smart device is provided. The robot monitoring system comprises at least one robot in local communication with at least one robot controller. The at least one robot controller has local processing power for monitoring, gathering, and analyzing data related to the at least one robot. The data analysis results are formatted into a message file that is communicated to a storage system. The message file may then be retrieved by a smart device having software running thereon for displaying the results of the data analysis.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: August 16, 2016
    Assignee: Fanuc America Corporation
    Inventors: Gordon Geheb, Jason Tsai, Rick E. Wunderlich, Yi Sun, Don Kijek, Isaac Eckert, Ganesh Kalbavi, Ken Krause, Judy Evans, Ashok Prajapati
  • Patent number: 9407554
    Abstract: The present solution is related to a method for distributing flows of network traffic across a plurality of packet processing engines executing on a corresponding core of a multi-core device. The method includes receiving, by a multi-core device intermediary to clients and servers, a packet of a first flow of network traffic between a client and server. The method also includes assigning, by a flow distributor of the multi-core device, the first flow of network traffic to a first core executing a packet processing engine and distributing the packet to this core. The flow distributor may distribute packets of another or second flow of traffic between another client and server to a second core executing a second packet processing engine. When a packet for the flow of traffic assigned to the first core is received, such as a third packet, the flow distributor distributes this packet to the first core.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: August 2, 2016
    Assignee: CITRIX SYSTEMS, INC.
    Inventors: Rajiv Mirani, Rajiv Sinha, Abhishek Chauhan, Anil Shetty
  • Patent number: 9367329
    Abstract: This disclosure is directed to use of shared initialization and configuration vectors, which are delivered to processing cores in a multi-core processor using packets. An initialization core may include reset logic that may read initialization and configuration vectors from a centralized storage location, which may be on a die containing the processing cores (e.g., a fuse, etc.), off the die (e.g., in volatile memory, flash memory, etc.), or a combination of both. The initialization core may then generate packets to transmit the initialization and configuration vectors to processing cores that await initialization (e.g., following a reset). In some instances, the initialization and configuration vector information may be shared by two or more cores of a same type.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: June 14, 2016
    Assignee: Intel Corporation
    Inventors: Steven S. Chang, Anshuman Thakur, Ramacharan Charan Sundararaman, Ramon Matas
  • Patent number: 9170896
    Abstract: An information processing apparatus includes a switch unit configured to connect some of the arithmetic processing devices and some of the storage devices in accordance with connection information, a first control unit being configured to output physical information converted from the logical information of the arithmetic processing device at the transmission destination and the physical information of the corresponding arithmetic processing device via a transfer path in accordance with the correlation information, a second control unit configured to change the connection information in response to occurrence of a failure of some arithmetic processing device in the system, and to control the switch unit such that the failed arithmetic processing device is replaced with another one included in the plural arithmetic processing devices.
    Type: Grant
    Filed: January 23, 2014
    Date of Patent: October 27, 2015
    Assignee: FUJITSU LIMITED
    Inventors: Takatsugu Ono, Mitsuru Sato, Susumu Saga
  • Patent number: 9172642
    Abstract: To provide a stable high speed wireless network, the relay process is solved at the lower layers (PHY layer, MAC layer) without depending on upper layers to reduce the load of the upper layers to the utmost. Discrimination is made between a packet of one's own station and a relay packet to process the presence and absence of the relay packet without using a CPU to construct a wireless network executing the relay processing at a high speed. Further, the retransmission is executed without using a CPU to provide a stable wireless network. In addition, the table for relay process (routing table) is constantly updated to add to the table the information of the packets of which processes are not executed to eventually suppress unnecessary processes.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: October 27, 2015
    Assignee: Sony Corporation
    Inventor: Hideki Iwami
  • Patent number: 9063667
    Abstract: For dynamic memory relocation, a tracking module tracks accesses to a plurality of memory devices. Each of the plurality of memory devices is in communication with one memory controller of a plurality of memory controllers embedded in a computing device comprising a plurality of nodes. A migration module migrates first data from a first memory device in communication with a first memory controller to a second memory device in communication with a second memory controller.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: June 23, 2015
    Assignee: Utah State University
    Inventors: Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy
  • Publication number: 20150143082
    Abstract: A fault-tolerant computer system architecture includes two types of operating domains: a conventional first domain (DID) that processes data and instructions, and a novel second domain which includes mentor processors for mentoring the DID according to “meta information” which includes but is not limited to data, algorithms and protective rule sets. The term “mentoring” (as defined herein below) refers to, among other things, applying and using meta information to enforce rule sets and/or dynamically erecting abstractions and virtualizations by which resources in the DID are shuffled around for, inter alia, efficiency and fault correction. Meta Mentor processors create systems and sub-systems by means of fault tolerant mentor switches that route signals to and from hardware and software entities. The systems and sub-systems created are distinct sub-architectures and unique configurations that may be operated as separately or concurrently as defined by the executing processes.
    Type: Application
    Filed: May 23, 2013
    Publication date: May 21, 2015
    Inventor: Roger SMITH
  • Patent number: 9021126
    Abstract: A data processing apparatus includes multiple processing means that are connected in a ring shape via corresponding communication means respectively. Each communication means includes a reception means for receiving data from a previous communication means, and a transmission means for transmitting data to a next communication means. Connection information is assigned to each of the reception means and the transmission means. The communication means, when receiving a packet that has same connection information as one assigned to its reception means, causes the corresponding processing means to perform data processing on the packet, sets the connection information assigned to its transmission means to the packet, and transmits the packet to the next communication means, and when receiving a packet that has connection information that is not same as one assigned to its reception means, transmits the packet to the next communication means without changing the connection information of the packet.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: April 28, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hisashi Ishikawa
  • Patent number: 9021237
    Abstract: A method and circuit arrangement utilize a low latency variable transfer network between the register files of multiple processing cores in a multi-core processor chip to support fine grained parallelism of virtual threads across multiple hardware threads. The communication of a variable over the variable transfer network may be initiated by a move from a local register in a register file of a source processing core to a variable register that is allocated to a destination hardware thread in a destination processing core, so that the destination hardware thread can then move the variable from the variable register to a local register in the destination processing core.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Miguel Comparan, Russell D. Hoover, Robert A. Shearer, Alfred T. Watson, III
  • Patent number: 8972995
    Abstract: A method, apparatus, and system in which an integrated circuit comprises an initiator Intellectual Property (IP) core, a target IP core, an interconnect, and a tag and thread logic. The target IP core may include a memory coupled to the initiator IP core. Additionally, the interconnect can allow the integrated circuit to communicate transactions between one or more initiator Intellectual Property (IP) cores and one or more target IP cores coupled to the interconnect. A tag and thread logic can be configured to concurrently perform per-thread and per-tag memory access scheduling within a thread and across multiple threads such that the tag and thread logic manages tags and threads to allow for per-tag and per-thread scheduling of memory accesses requests from the initiator IP core out of order from an initial issue order of the memory accesses requests from the initiator IP core.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: March 3, 2015
    Assignee: Sonics, Inc.
    Inventors: Krishnan Srinivasan, Ruben Khazhakyan, Harutyan Aslanyan, Drew E. Wingard, Chien-Chun Chou
  • Publication number: 20150046677
    Abstract: The present application relates generally to a parallel processing device. The parallel processing device can include a plurality of processing elements, a memory subsystem, and an interconnect system. The memory subsystem can include a plurality of memory slices, at least one of which is associated with one of the plurality of processing elements and comprises a plurality of random access memory (RAM) tiles, each tile having individual read and write ports. The interconnect system is configured to couple the plurality of processing elements and the memory subsystem. The interconnect system includes a local interconnect and a global interconnect.
    Type: Application
    Filed: November 18, 2013
    Publication date: February 12, 2015
    Applicant: LINEAR ALGEBRA TECHNOLOGIES LIMITED
    Inventors: David MOLONEY, Richard RICHMOND, David DONOHOE, Brendan BARRY
  • Publication number: 20150046678
    Abstract: The present application relates generally to a parallel processing device. The parallel processing device can include a plurality of processing elements, a memory subsystem, and an interconnect system. The memory subsystem can include a plurality of memory slices, at least one of which is associated with one of the plurality of processing elements and comprises a plurality of random access memory (RAM) tiles, each tile having individual read and write ports. The interconnect system is configured to couple the plurality of processing elements and the memory subsystem. The interconnect system includes a local interconnect and a global interconnect.
    Type: Application
    Filed: November 18, 2013
    Publication date: February 12, 2015
    Applicant: Linear Algebra Technologies Limited
    Inventors: David MOLONEY, Richard RICHMOND, David DONOHOE, Brendan BARRY, Cormac BRICK, Ovidiu Andrei VESA
  • Patent number: 8954712
    Abstract: Node Interconnect architectures to implement a high performance supercomputer are provided. For example, a node interconnect architecture for connecting a multitude of nodes (or processors) of a supercomputer is implemented using an all-to-all electrical and optical connection network which provides two independent communication paths between any two processors of the supercomputer, wherein a communication path includes at most two electrical links and one optical link.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: February 10, 2015
    Assignee: International Business Machines Corporation
    Inventors: Evan G. Colgan, Monty M. Denneau, Daniel M. Kuchta
  • Patent number: 8949578
    Abstract: Described embodiments provide a system having at least two network processors that each have a plurality of processing modules. The processing modules process a packet in a task pipeline by transmitting task messages to other processing modules on a task ring, the task messages related to desired processing of the packet. A series of tasks within a network processor may result in no processing or reduced processing for certain processing modules creating a virtual pipeline depending on the packet received by the network processor. At least two of the network processors communicate tasks. This communication allows ter the extension of the virtual pipeline of or IC network processor to at least two network processors.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: February 3, 2015
    Assignee: LSI Corporation
    Inventors: Joseph A. Manzella, Nilesh S. Vora, Walter A. Roper, Robert J. Munoz, David P. Sonnier
  • Publication number: 20150026432
    Abstract: Symmetric multi-processor (SMP) nodes are dynamically configured via SMP sockets that use SMP optically-connected switches to dynamically connect SMP optically-connected links connected to the SMP nodes to form SMP domains based on best matched expected workloads for coherent traffic for exchanging SMP coherent information. The SMP nodes are dynamically added to one of the SMP domains and/or dynamically removed from one of the SMP domains.
    Type: Application
    Filed: July 18, 2013
    Publication date: January 22, 2015
    Inventors: John M. BORKENHAGEN, James S. FIELDS, JR., Eugen SCHENFELD
  • Publication number: 20150026433
    Abstract: An allocation system and a method for allocating an architectural register in a system having one or more mapping tables. When the allocation system detects a plurality of available architectural registers to an allocation target virtual register, it identifies adjacent instructions to all instructions having the allocation target virtual register in its destination operand, counts the number of uses of the architectural register appearing in the destination operand for each architectural register, summing the number of uses for each architectural register for each entry group in one or more mapping tables having the same assignment rule for correlations with the architectural registers, calculating the total of the numbers of uses of entries for each entry group, and allocating the architectural register to the allocation target virtual register such that the total of the numbers of uses of entries for each entry group approaches uniformity.
    Type: Application
    Filed: July 2, 2014
    Publication date: January 22, 2015
    Inventor: Kazuaki Ishizaki
  • Patent number: 8924688
    Abstract: A computing and communication chip architecture is provided wherein the interfaces of processor access to the memory chips are implemented as a high-speed packet switched serial interface as part of each chip. In one embodiment, the interface is accomplished through a gigabit Ethernet interface provided by protocol processor integrated as part of the chip. The protocol processor encapsulates the memory address and control information like Read, Write, number of successive bytes etc, as an Ethernet packet for communication among the processor and memory chips that are located on the same motherboard, or even on different circuit cards. In one embodiment, the communication over head of the Ethernet protocol is further reduced by using an enhanced Ethernet protocol with shortened data frames within a constrained neighborhood, and/or by utilizing a bit stream switch where direct connection paths can be established between elements that comprise the computing or communication architecture.
    Type: Grant
    Filed: July 8, 2012
    Date of Patent: December 30, 2014
    Assignee: Psimast, Inc
    Inventor: Viswa Sharma
  • Patent number: 8890877
    Abstract: Updating firmware of a display device. The display device may include a display screen and a video interface for receiving video signals from a host system and providing the video signals for display on the display screen. The display device may include a memory that stores program instructions for controlling operation of the display device. The display device may include a serial bus interface (e.g., a USB interface), which may receive signals from a host computer for updating the program instructions in the memory. A serial bus to first protocol bridge may receive the serial bus signals from the serial bus interface and convert the serial bus signals to signals of the first protocol. A display controller may update the program instructions in the memory of the display in response to the signals of the first protocol.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: November 18, 2014
    Assignee: Standard Microsystems Corporation
    Inventors: Mark Yi-Li Fu, Dale A. Herman
  • Publication number: 20140337603
    Abstract: An object is to provide a memory device including a memory element that can be operated without problems by a thin film transistor with a low off-state current. Provided is a memory device in which a memory element including at least one thin film transistor that includes an oxide semiconductor layer is arranged as a matrix. The thin film transistor including an oxide semiconductor layer has a high field effect mobility and low off-state current, and thus can be operated favorably without problems. In addition, the power consumption can be reduced. Such a memory device is particularly effective in the case where the thin film transistor including an oxide semiconductor layer is provided in a pixel of a display device because the memory device and the pixel can be formed over one substrate.
    Type: Application
    Filed: July 21, 2014
    Publication date: November 13, 2014
    Inventors: Shunpei Yamazaki, Masashi Tsubuku, Kosei Noda, Kouhei Toyotaka, Kazunori Watanabe, Hikaru Harada
  • Publication number: 20140337602
    Abstract: In a logically partitioned host computer system comprising host processors (host CPUs) partitioned into a plurality of guest processors (guest CPUs) of a guest configuration, a perform topology function instruction is executed by a guest processor specifying a topology change of the guest configuration. The topology change preferably changes the polarization of guest CPUs, the polarization being related to the amount of a host CPU resource provided to a guest CPU.
    Type: Application
    Filed: July 17, 2014
    Publication date: November 13, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mark S. Farrell, Charles W. Gainey, Jeffrey P. Kubala, Donald W. Schmidt
  • Publication number: 20140331027
    Abstract: A method of interconnecting blocks of heterogeneous dimensions using a NoC interconnect with sparse mesh topology includes determining a size of a mesh reference grid based on dimensions of the chip, dimensions of the blocks of heterogeneous dimensions, relative placement of the blocks and a number of host ports required for each of the blocks of heterogeneous dimensions, overlaying the blocks of heterogeneous dimensions on the mesh reference grid based on based on a guidance floor plan for placement of the blocks of heterogeneous dimensions, removing ones of a plurality of nodes and corresponding ones of links to the ones of the plurality of nodes which are blocked by the overlaid blocks of heterogeneous dimensions, based on porosity information of the blocks of heterogeneous dimensions, and mapping inter-block communication of the network-on-chip architecture over remaining ones of the nodes and corresponding remaining ones of the links
    Type: Application
    Filed: July 17, 2014
    Publication date: November 6, 2014
    Inventors: Joji Philip, Sailesh Kumar, Eric Norige, Mahmud Hassan, Sundari Mitra
  • Publication number: 20140325180
    Abstract: An electronic system includes a central processing unit (CPU) expansion apparatus and a portable electronic apparatus. The CPU expansion apparatus has a first CPU connector and a first CPU. The portable electronic apparatus has a second CPU connector and a second CPU. When the first CPU connector is connected to the second CPU connector, a data transmission is implemented between the first CPU and the second CPU. A CPU expansion apparatus, portable electronic apparatus and processing method are also disclosed. With the electronic system, CPU expansion apparatus, portable electronic apparatus and processing method according to the invention, the portable electronic apparatus can be connected to an additional CPU externally and is thereby improved in efficiency of processing and computing.
    Type: Application
    Filed: April 29, 2014
    Publication date: October 30, 2014
    Applicant: NATIONAL CHENG KUNG UNIVERSITY
    Inventor: Sen-Yung LEE