Risc Patents (Class 712/41)
  • Publication number: 20030093776
    Abstract: Emulation of a guest computer architecture on a host system of another computer architecture. The guest computer architecture has programs composed of legacy instructions. Each particular legacy instruction is translated into one or more particular translated instructions for emulating the particular legacy instruction. If the particular legacy instruction is an operand-setting instruction for storing a value of a precedent operand, a corresponding flag is set when the value of the precedent operand has not been determined. If the particular legacy instruction is an operand-using instruction for using the precedent operand, a check is made to determine if the corresponding flag is set. If the corresponding flag is set, translation of the operand-using instruction is suspended and the one or more particular translated instructions corresponding to the operand-setting instruction are executed to determine the value of the precedent operand.
    Type: Application
    Filed: November 14, 2001
    Publication date: May 15, 2003
    Inventor: Ronald Hilton
  • Publication number: 20030093774
    Abstract: Emulation of a guest computer architecture on a host system of another computer architecture. The guest computer architecture has programs composed of legacy instructions. To perform the emulation of the legacy instructions on the host system, the legacy instructions are accessed in the host system. Each particular legacy instruction is translated into one or more translated instructions for emulating the particular legacy instruction. State information is provided for determining a program execution mode for the legacy instructions. For each particular legacy instruction, a query is made to determine if translated instructions for execution mode remain stored as a result of a prior translation. If not stored, the legacy instruction is translated and the translated instructions are stored with the state information. If the translated instructions for the desired translation mode are already stored, emulation continues without need for further translation.
    Type: Application
    Filed: November 14, 2001
    Publication date: May 15, 2003
    Inventor: Ronald Hilton
  • Patent number: 6542981
    Abstract: A method and apparatus for invoking microcode instructions resident on a processor by executing a special RISC instruction on the processor such that special functions are provided. In one embodiment, the special function invoked may be a feature of the processor not included in the processor's publicly known instruction set. In another embodiment, the special function invoked may cause a set of instructions to be transferred from a memory external to the processor to a memory in the processor. In such an embodiment, the method and apparatus include authenticating and decrypting the instructions before transferring from the memory external to the processor to the memory in the processor. In such an embodiment, the method and apparatus may be used for upgrading microcode within a processor by executing the special RISC instruction stored on a writeable non-volatile memory located external to the processor.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: April 1, 2003
    Assignee: Intel Corporation
    Inventors: Nazar Abbas Zaidi, Gary Hammond, Kin-Yip Liu, Tse-Yu Yeh
  • Patent number: 6499100
    Abstract: When decoding instructions of a program to be executed in a central processing unit comprising pipelining facilities for fast instruction decoding, part of the decoding is executed or the decoding in pipelining units is prepared in a remapping unit during loading a program into a program or primary memory used by the central processor, the remapping or predecoding operation resulting in operation codes which can be very rapidly interpreted by the pipelining units of the central processor. Thus, the operation code field of an instruction is changed to include information on e.g., instruction length, jumps, parameters, etc., this information indicating the instruction length, whether it is a jump instruction or has a parameter etc. respectively, in a direct way that allows the use of simple combinatorial circuits in the pipelining units.
    Type: Grant
    Filed: May 30, 2000
    Date of Patent: December 24, 2002
    Assignee: Telefonaktiebolaget LM Ericsson (publ)
    Inventors: Dan Halvarsson, Tomas Jonsson, Per Holmberg
  • Patent number: 6477636
    Abstract: The invention relates to an application-specific integrated circuit (ASIC) for processing defined sequences of assembler instructions (TASKs). To improve data throughput in applications with high memory access rates, the ASIC contains a TASK scheduler, which is implemented as hardware and which chronologically coordinates, in an appropriate manner, the processing of different TASKs on an ASIC internal processing means (EXU). Compared to conventional software control units for multitasking systems, this TASK scheduler which is implemented as hardware offers the advantage, among others, that the operating system is relieved of load, and an expensive memory architecture is not required.
    Type: Grant
    Filed: June 22, 1999
    Date of Patent: November 5, 2002
    Assignee: Siemens Aktiengesellschaft
    Inventor: Rudolf Osterholzer
  • Patent number: 6425071
    Abstract: A method and apparatus to bridge between the PCI bus and a RISC processor interface bus. In one embodiment, the present invention is a single-ASIC implementation rather than a design using multiple discrete circuit components. The invention incorporates a method and apparatus that will minimize subsystem latencies and inefficiencies in order to maximize data throughput and system performance. In yet another embodiment, the RISC processor interface bus is the AMBA ASB bus. The invention further provides an Advanced RISC Machine interface bus unit which uses an improved clock crossing handshake mechanism that can support a range of clock frequencies on the AMBA ASB bus.
    Type: Grant
    Filed: May 3, 1999
    Date of Patent: July 23, 2002
    Assignee: 3COM Corporation
    Inventors: Burton B. Lo, Anthony L. Pan
  • Publication number: 20020083309
    Abstract: A spill/fill engine detects when a register window spill trap or a register window fill trap is imminent. The spill/fill engine takes steps to avoid the trap so as to not incur an undue amount of overhead in servicing the trap with a software trap handler. The spill/fill engine may be implemented in hardware. The traps may be avoided by injecting appropriate instructions into an instruction stream for execution.
    Type: Application
    Filed: December 21, 2000
    Publication date: June 27, 2002
    Applicant: SUN MICROSYSTEMS, INC.
    Inventors: Daniel Leibholz, Jason Eisenberg
  • Patent number: 6408376
    Abstract: Disclosed is a method, apparatus, and an instruction set architecture (ISA) for an application specific signal processor (ASSP) tailored to digital signal processing (DSP) applications. The instruction set architecture implemented with the ASSP, is adapted to DSP algorithmic structures. In one embodiment, a single DSP instruction includes a pair of sub-instructions: a primary DSP sub-instruction and a shadow DSP sub-instruction. Both the primary and the shadow DSP sub-instructions are dyadic DSP instructions performing two operations in one instruction cycle. The DSP operations, in one embodiment, include a multiply instruction (MULT), an addition instruction (ADD), a minimize/maximize instruction (MIN/MAX), and a no operation instruction (NOP).
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: June 18, 2002
    Assignee: Intel Corporation
    Inventors: Kumar Ganapathy, Ruban Kanapathipillai
  • Patent number: 6385714
    Abstract: A data processing apparatus uses a stored-program method to execute an operation instructed by an instruction word that includes a register designation code as an operand. A plurality of work registers are identifiable by register numbers, each of a typical number of bits. A correspondence table holds at least one of the register numbers in a state corresponding to register designation codes. The codes are stored in a readable condition, and have fewer bits than the register numbers. The data processing apparatus refers to the correspondence table when executing the operation.
    Type: Grant
    Filed: September 16, 1998
    Date of Patent: May 7, 2002
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yasuhito Koumura, Hiroki Miura, Kenshi Matsumoto
  • Publication number: 20020053013
    Abstract: A processor is provided with a datapath and control logic to control the datapath to selectively effectuate execution of instructions of multiple ISA. In some embodiments, execution of the instructions of the different ISA are effectuated by selectively executing primitive operations (POP) of different ISA implementing POP collections. In some embodiments, the processor further includes at least one ISA selector accessible to the control logic to facilitate the control logic in controlling the datapath to selectively effectuate execution of the instructions of the different ISA. In some embodiments, the processor further includes an ISA library, storing and supplying, e.g. different collections of primitive operations implementing instructions of the different ISA, and logical to physical mappings of the different ISA.
    Type: Application
    Filed: July 21, 1998
    Publication date: May 2, 2002
    Inventor: DONALD L. SOLLARS
  • Patent number: 6349384
    Abstract: A data processing system comprises means for identifying and replacing instructions to jump to functions having known prolog instructions with modified jump instructions, means for storing the known prolog instructions, and means for retrieving the known prolog instructions when such modified instructions are found and for supplying the known prolog instructions for processing. A compiler or preprocessor is arranged to detect and modify the jump instructions. A logic module is arranged to intercept the modified instructions, retrieve from its storage the prolog instructions, and supply the prolog instructions for processing. The compiler or preprocessor is further arranged to detect and modify the first instruction of known epilog code. A logic module is arranged to intercept the modified instruction, and to retrieve and supply for processing the epilog instructions.
    Type: Grant
    Filed: May 25, 1999
    Date of Patent: February 19, 2002
    Assignee: International Business Machines Corporation
    Inventors: Andrew Key, Vincent Sethi
  • Patent number: 6349377
    Abstract: A processing device is disclosed that includes an instruction memory for storing virtual machine instructions, such as Java byte codes. A processor of the processing device includes a predetermined microcontroller core for executing native instructions from a predetermined set of microcontroller specific instructions. The native instructions differ from the virtual machine instructions. The processor may request re-feeding of a plurality of native instructions. For instance, the processor may have a pipeline and/or instruction cache which after an interrupt needs to be re-filled. The processing device includes a pre-processor with a converter for converting at least one virtual machine instruction, fetched from the instruction memory, into at least one native instruction. A feeding means of the pre-processor feeds native instructions to the microcontroller core and re-feeds native instructions in response to the processor requesting re-feeding of a number of native instructions.
    Type: Grant
    Filed: September 28, 1998
    Date of Patent: February 19, 2002
    Assignee: U.S. Philips Corporation
    Inventor: Menno M. Lindwer
  • Patent number: 6330660
    Abstract: An application specific signal processor (ASSP) performs vectorized and nonvectorized operations. Nonvectorized operations may be performed using a saturated multiplication and accumulation operation. The ASSP includes a serial interface, a buffer memory, a core processor for performing digital signal processing which includes a reduced instruction set computer (RISC) processor and four signal processing units. The four signal processing units execute the digital signal processing algorithms in parallel including the execution of the saturated multiplication and accumulation operation. The ASSP is utilized in telecommunication interface devices such as a gateway. The ASSP is well suited to handling voice and data compression/decompression in telecommunication systems where a packetized network is used to transceive packetized data and voice.
    Type: Grant
    Filed: October 25, 1999
    Date of Patent: December 11, 2001
    Assignee: VxTel, Inc.
    Inventors: Kumar Ganapathy, Ruban Kanapathipillai
  • Patent number: 6317803
    Abstract: A high throughput memory access port is provided. The port includes features which provide higher data transfer rates between system memory and video/graphics or audio adapters than is possible using standard local bus architectures, such as PCI or ISA. The port allows memory read and write requests to be pipelined in order to hide the effects of memory access latency. In particular, the port allows bus transactions to be performed in either a non-pipelined mode, such as provided by PCI, or in a pipelined mode. In the pipelined mode, one or more additional memory access requests are permitted to be inserted between a first memory access request and its corresponding data transfer. In contrast, in the non-pipelined mode, an additional memory access request cannot be inserted between a first memory access request and its corresponding data transfer.
    Type: Grant
    Filed: September 27, 1996
    Date of Patent: November 13, 2001
    Assignee: Intel Corporation
    Inventors: Norman J. Rasmussen, Gary A. Solomon, David G. Carson, George R. Hayek, Brent S. Baxter, Colyn Case
  • Patent number: 6314508
    Abstract: A general purpose register stores a 16-bit fixed length instruction. A bypass circuit speedily outputs the result of a comparison instruction when the next conditional branch instruction is executed. An ALU performs a logic process and so forth. A high speed multiplying device/high speed dividing device performs an arithmetic operation at high speed. An address calculating portion calculates an address. An instruction decoder/pipeline controlling portion decodes an instruction and controls a pipeline. A dedicated control register is used as an interrupt stack pointer or the like. An interrupt controller performs a multiple interrupt process. A coprocessor bus is disposed independently from a data bus.
    Type: Grant
    Filed: February 20, 1998
    Date of Patent: November 6, 2001
    Assignee: Sony Corporation
    Inventor: Masaru Goto
  • Patent number: 6308253
    Abstract: A reduced programmable controller for an extensible digital signal processing architecture supports particular instructions to facilitate common digital signal processing operations. These instructions include extract and insert instructions, which are useful in managing the storage and extraction of digital signal processing variables to and from registers, and also useful in assembling fixed-length digital signal parameters from a section of a bitstream stored in a register. These instructions further include leading value detect instructions, including a leading zero detect instruction and a leading one detect instruction which are useful in parsing unique prefix codes such as Huffman codes used in MPEG encoding of video and other variable length codes, and useful in handling of a priority encoder such as a task manager.
    Type: Grant
    Filed: March 31, 1999
    Date of Patent: October 23, 2001
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventors: Shirish Gadre, Mazin S. Khurshid
  • Patent number: 6298432
    Abstract: A one-chip microcomputer including a Reduced Instruction Set Computer (RISC) type processor and one or more coprocessors for performing processes independent from said RISC type processor. The RISC type processor is coupled to the coprocessors via a coprocessor bus and is provided with a bypass circuit which facilitates execution of conditional branch instructions.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: October 2, 2001
    Assignee: Sony Corporation
    Inventor: Masaru Goto
  • Patent number: 6292881
    Abstract: A microprocessor capable of executing a process instruction having at least one RISC type instruction is constructed to include an instruction decoding section for decoding a microcode including information which indicates transfer contents of input and output data and address information which indicates a storage location of the process instruction, a data reading section for reading input data corresponding to the information which indicates the transfer contents of the input and output data decoded by the instruction decoding section and reading the process instruction corresponding to the address information, and an operation process executing section for implementing one or a plurality of operation unit resources capable of executing an operation process according to the input data read by the data reading section and the process instruction.
    Type: Grant
    Filed: February 25, 1999
    Date of Patent: September 18, 2001
    Assignee: Fujitsu Limited
    Inventors: Ritsuko Tanaka, Yuji Nomura, Toru Tsuruta, Nobuyuki Iwasaki
  • Patent number: 6272619
    Abstract: A high-performance, superscalar-based computer system with out-of-order instruction execution for enhanced resource utilization and performance throughput. The computer system fetches a plurality of fixed length instructions with a specified, sequential program order (in-order). The computer system includes an instruction execution unit including a register file, a plurality of functional units, and an instruction control unit for examining the instructions and scheduling the instructions for out-of-order execution by the functional units. The register file includes a set of temporary data registers that are utilized by the instruction execution control unit to receive data results generated by the functional units. The data results of each executed instruction are stored in the temporary data registers until all prior instructions have been executed, thereby retiring the executed instructions in-order.
    Type: Grant
    Filed: November 10, 1999
    Date of Patent: August 7, 2001
    Assignee: Seiko Epson Corporation
    Inventors: Le Trong Nguyen, Derek J. Lentz, Yoshiyuki Miyayama, Sanjiv Garg, Yasuaki Hagiwara, Johannes Wang, Te-Li Lau, Sze-Shun Wang, Quang H. Trang
  • Patent number: 6272620
    Abstract: A microcomputer MCU adopting the general purpose register method is enabled to have a small program capacity or a high program memory using efficiency and a low system cost, while enjoying the advantage of simplification of the instruction decoding as in the RISC machine having a fixed length instruction format of the prior art, by adopting a fixed length instruction format having a power of 2 but a smaller bit number than that of the maximum data word length fed for instruction execution. And, the control of the coded division is executed by noting the code bits.
    Type: Grant
    Filed: April 4, 2000
    Date of Patent: August 7, 2001
    Assignees: Hitachi, Ltd., Hitachi VLSI Engineering Corp., Hitachi Microcomputer System, Ltd.
    Inventors: Shumpei Kawasaki, Eiji Sakakibara, Kaoru Fukada, Takanaga Yamazaki, Yasushi Akao, Shiro Baba, Toshimasa Kihara, Keiichi Kurakazu, Takashi Tsukamoto, Shigeki Masumura, Yasuhiro Tawara, Yugo Kashiwagi, Shuya Fujita, Katsuhiko Ishida, Noriko Sawa, Yoichi Asano, Hideaki Chaki, Tadahiko Sugawara, Masahiro Kainaga, Kouki Noguchi, Mitsuru Watabe
  • Patent number: 6260088
    Abstract: A single integrated circuit includes first and second data processors operating on different instruction sets independently operating on disjoint programs and data. The single integrated circuit preferably includes an external interface, a shared data transfer controller and shared memory divided into plural independently accessible memory banks. The two data processors are preferably a digital signal processor (DSP) and a reduced instruction set computer (RISC) processor. The DSP and RISC processors are suitably programmed to perform differing aspects of computer image processing.
    Type: Grant
    Filed: March 3, 2000
    Date of Patent: July 10, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: Robert J. Gove, Keith Balmer, Nicholas Kerin Ing-Simmons, Karl Marion Guttag
  • Patent number: 6237080
    Abstract: A computer having a reduced instruction computer (RISC) architecture has a RISC central processing unit (CPU)(1) coupled to a RAM memory (3) and to a flash ROM memory (4). A set of compressed operating instructions (6,8), including a subset defining a compression method (8), are stored in the flash ROM (4) together with a set of uncompressed instructions (7) defining a compression algorithm. Upon booting of the computer, the uncompressed instructions (7) are read from the ROM (4) by the CPU (1) which then also reads the compressed instructions (6,8), decompresses them according to the decompression process (7), and writes the decompressed instructions (6′,8′) to the RAM (3). The compressed instructions (6,8) can be dynamically altered by the CPU (1), by generating an altered set of uncompressed instructions, compressing these in accordance with the now decompressed compression method (8′), and writing these to the flash ROM (4).
    Type: Grant
    Filed: September 28, 1998
    Date of Patent: May 22, 2001
    Assignee: Nokia Mobile Phones Ltd.
    Inventor: Rauno Mäkinen
  • Patent number: 6233492
    Abstract: A process control system includes a plurality of machine controllers for individually controlling a plurality of process chambers and a main controller for controlling the machine controllers. Each of the machine controllers has a function of transferring process data detected by its corresponding process chamber to the main controller. The main controller has a storage device for accumulating process data transferred from the machine controllers and a function of transferring the accumulated process data to a host computer when the main controller is in a predetermined control load state.
    Type: Grant
    Filed: April 24, 1998
    Date of Patent: May 15, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Nakamura, Satoshi Tochiori
  • Patent number: 6230254
    Abstract: The present invention provides a system and method for managing load and store operations necessary for reading from and writing to memory or I/O in a superscalar RISC architecture environment. To perform this task, a load/store unit is provided whose main purpose is to make load requests out-of-order whenever possible to get the load data back for use by an instruction execution unit as quickly as possible. A load operation can only be performed out-of-order if there are no address collisions and no write pendings. An address collision occurs when a read is requested at a memory location where an older instruction will be writing. Write pending refers to the case where an older instruction requests a store operation, but the store address has not yet been calculated. The data cache unit returns 8 bytes of unaligned data. The load/store unit aligns this data properly before it is returned to the instruction execution unit.
    Type: Grant
    Filed: November 12, 1999
    Date of Patent: May 8, 2001
    Assignee: Seiko Epson Corporation
    Inventors: Cheryl D. Senter, Johannes Wang
  • Patent number: 6223275
    Abstract: A 32-bit RISC processor is disclosed. The bit length of the instruction set is fixed to 16 bits. SLIL and SLIH instructions that cause the address space of 4 Gbytes to be limited to upper 2 Mbytes and that execute a long type register branch instruction are provided. Thus, a register branch instruction can be executed with three instructions rather than five instructions unlike with a related art reference.
    Type: Grant
    Filed: June 12, 1998
    Date of Patent: April 24, 2001
    Assignee: Sony Corporation
    Inventors: Masaru Goto, Hiroaki Miyachi, Yukihiro Sakamoto
  • Patent number: 6161171
    Abstract: A first instruction requiring that a data word should be read out from a data memory and be stored in a certain register in a register set, and then a second instruction requiring that two operands, respectively read out from the register and another register in the register set, should be added are pipeline-processed. In a high-speed mode in which an operation clock having a higher frequency is supplied, a data cache intervened between an instruction execution circuit and the data memory is controlled to supply a data word to a WB (write back) stage of the instruction execution circuit within two cycles with respect to an input address associated with the first instruction. In order to execute the second instruction, the data word is supplied from the WB stage to an EX (operation execution) stage of the instruction execution circuit.
    Type: Grant
    Filed: June 26, 1998
    Date of Patent: December 12, 2000
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toru Morikawa, Nobuo Higaki, Shinji Ozaki, Keisuke Kaneko, Satoshi Ogura, Masato Suzuki
  • Patent number: 6157971
    Abstract: A source module, a destination module, or both modules, that are used in a data transfer, signal over an internal communication bus to a bus master when additional time is needed to participate in the data transfer. If either the source module, destination module or both modules require more time, the bus master, in response to an active stretch bus access signal or signals for the module or modules, automatically extends the bus access cycle until all modules requiring additional time signal over the internal communication bus that they are ready to proceed with the data transfer. Consequently, the source module, destination module, or both modules can re-time a bus access cycle to accommodate the characteristics of that particular module. When the addressed storage location in the data transfer comprises a single point address type memory, the addressed module drives an active signal on an address increment disable line in the control bus.
    Type: Grant
    Filed: June 2, 1998
    Date of Patent: December 5, 2000
    Assignee: Adaptec, Inc.
    Inventor: Stillman Gates
  • Patent number: 6134646
    Abstract: In a processor, store instructions are divided or cracked into store data and store address generation portions for separate and parallel execution within two execution units. The address generation portion of the store instruction is executed within the load store unit, while the store data portion of the instruction is executed in an execution unit other than the load store unit. If the store instruction is a fixed point execution unit, then the store data portion is executed within the fixed point unit. If the store instruction is a floating point store instruction, then the store data portion of the store instruction is executed within the floating point unit. The store instruction is completed when all older instructions have completed and when all instructions in the instruction group have finished.
    Type: Grant
    Filed: July 29, 1999
    Date of Patent: October 17, 2000
    Assignee: International Business Machines Corp.
    Inventors: Kurt Alan Feiste, Tai Dinh Ngo, Amy May Tuvell
  • Patent number: 6134653
    Abstract: A RISC processor includes a sequencer, a register ALU (RALU), data RAM, and a coprocessor interface. The sequencer includes an N.times.32 bit instruction RAM which is booted from external memory through the coprocessor interface. The RALU includes a four port register file for storage of three contexts, and an ALU. The ISA (instruction set architecture) according to the invention supports up to eight coprocessors. An important feature of the invention is that multiple sets of general purpose registers are provided for the storing of several contexts. According to a presently preferred embodiment, three sets of general purpose registers are provided as part of the RALU and a new opcode is provided for switching among the sets of general purpose registers. With multiple sets of general purpose registers, context switching can be completed in three processing cycles.
    Type: Grant
    Filed: April 22, 1998
    Date of Patent: October 17, 2000
    Assignee: TranSwitch Corp.
    Inventors: Subhash C. Roy, Paul Hembrook, Eugene L. Parrella, Richard Mariano
  • Patent number: 6134648
    Abstract: A method for operating a Reduced Instruction Set Computer (RISC) processor that executes normal RISC instructions and special RISC instructions. The method comprises the step of controlling the RISC processor to perform a single operation, using a single functional unit of the RISC processor, in response to each normal RISC instruction. The method also comprises the step of controlling the RISC processor to perform multiple operations, using multiple functional units of the RISC processor in parallel, in response to each special RISC instruction.
    Type: Grant
    Filed: December 8, 1997
    Date of Patent: October 17, 2000
    Assignee: Micron Technology, Inc.
    Inventors: James Peterson, Glenn C. Poole, Mohammed Sriti
  • Patent number: 6122724
    Abstract: A microcomputer MCU adopting the general purpose register method is enabled to have a small program capacity or a high program memory using efficiency and a low system cost, while enjoying the advantage of simplification of the instruction decoding as in the RISC machine having a fixed length instruction format of the prior art, by adopting a fixed length instruction format having a power of 2 but a smaller bit number than that of the maximum data word length fed for instruction execution. And, the control of the coded division is executed by noting the code bits.
    Type: Grant
    Filed: February 16, 1999
    Date of Patent: September 19, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Shumpei Kawasaki, Eiji Sakakibara, Kaoru Fukada, Takanaga Yamazaki, Yasushi Akao, Shiro Baba, Toshimasa Kihara, Keiichi Kurakazu, Takashi Tsukamoto, Shigeki Masumura, Yasuhiro Tawara, Yugo Kashiwagi, Shuya Fujita, Katsuhiko Ishida, Noriko Sawa, Yoichi Asano, Hideaki Chaki, Tadahiko Sugawara, Masahiro Kainaga, Kouki Noguchi, Mitsuru Watabe
  • Patent number: 6119223
    Abstract: A processor employing a map unit including register renaming hardware is shown. The map unit may assign virtual register numbers to source registers by scanning instruction operations to detect intraline dependencies. Subsequently, physical register numbers are mapped to the source register numbers responsive to the virtual register numbers. The map unit may stores (e.g. in a map silo) a current lookahead state corresponding to each line of instruction operations which are processed by the map unit. Additionally, the map unit stores an indication of which instruction operations within the line update logical registers, which logical registers are updated, and the physical register numbers assigned to the instruction operations. Upon detection of an exception condition for an instruction operation with a line, the current lookahead state corresponding to the line is restored from the map silo.
    Type: Grant
    Filed: July 31, 1998
    Date of Patent: September 12, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: David B. Witt
  • Patent number: 6115803
    Abstract: A parallel computer including a plurality of processing elements, each of processing elements comprising a flag address holding unit for temporarily holding an address of a send complete flag of a direct remote write message when the direct remote write message is sent to another processing element, and a flag update unit for exclusively updating a flag represented by the address held in the flag address holding unit when data indicated by the direct remote write message has been sent.
    Type: Grant
    Filed: January 22, 1998
    Date of Patent: September 5, 2000
    Assignee: Fujitsu Limited
    Inventors: Kenichi Hayashi, Yoichi Koyanagi, Takeshi Horie, Osamu Shiraki
  • Patent number: 6101594
    Abstract: A high-performance, superscalar-based computer system with out-of-order instruction execution for enhanced resource utilization and performance throughput. The computer system fetches a plurality of fixed length instructions with a specified, sequential program order (in-order). The computer system includes an instruction execution unit including a register file, a plurality of functional units, and an instruction control unit for examining the instructions and scheduling the instructions for out-of-order execution by the functional units. The register file includes a set of temporary data registers that are utilized by the instruction execution control unit to receive data results generated by the functional units. The data results of each executed instruction are stored in the temporary data registers until all prior instructions have been executed, thereby retiring the executed instructions in-order.
    Type: Grant
    Filed: May 11, 1999
    Date of Patent: August 8, 2000
    Assignee: Seiko Epson Corporation
    Inventors: Le Trong Nguyen, Derek J. Lentz, Yoshiyuki Miyayama, Sanjiv Garg, Yasuaki Hagiwara, Johannes Wang, Te-Li Lau, Sze-Shun Wang, Quang H. Trang
  • Patent number: 6101596
    Abstract: An information processor is capable of eliminating register conflict in short and long latency processes and for attaining high-speed pipeline processing through efficient use of registers. The scale of the necessary hardware is reduced by the processor using a register conflict detector and a scoreboard. The register conflict detector detects register conflict over a period of short latency processing, and the scoreboard checks for register conflict beyond the short latency process period and into a period of long latency processing. The processor controls the issue of instructions based on the detected register conflict status.
    Type: Grant
    Filed: September 3, 1997
    Date of Patent: August 8, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Shigeya Tanaka, Kotaro Shimamura, Tetsuya Shimomura, Takashi Hotta, Hideo Sawamoto
  • Patent number: 6092181
    Abstract: A high-performance, superscalar-based computer system with out-of-order instruction execution for enhanced resource utilization and performance throughput. The computer system fetches a plurality of fixed length instructions with a specified, sequential program order (in-order). The computer system includes an instruction execution unit including a register file, a plurality of functional units, and an instruction control unit for examining the instructions and scheduling the instructions for out-of-order execution by the functional units. The register file includes a set of temporary data registers that are utilized by the instruction execution control unit to receive data results generated by the functional units. The data results of each executed instruction are stored in the temporary data registers until all prior instructions have been executed, thereby retiring the executed instructions in-order.
    Type: Grant
    Filed: October 7, 1997
    Date of Patent: July 18, 2000
    Assignee: Seiko Epson Corporation
    Inventors: Le Trong Nguyen, Derek J. Lentz, Yoshiyuki Miyayama, Sanjiv Garg, Yasuaki Hagiwara, Johannes Wang, Te-Li Lau, Sze-Shun Wang, Quang H. Trang
  • Patent number: 6085310
    Abstract: A method for operating a Reduced Instruction Set Computer (RISC) processor that executes mormal RISC instructions and special RISC instructions. The method comprises the step of controlling the RISC processor to perform a single operation, using a single functional unit for each RISC processor, in response to each normal RISC instruction. The method also comprises the step of controlling the RISC processor to perform multiple operations, using multiple functional units of the RISC processor in parallel, in response to each special RISC instruction.
    Type: Grant
    Filed: December 9, 1997
    Date of Patent: July 4, 2000
    Assignee: Micron Technology, Inc.
    Inventors: James Peterson, Glenn C. Poole, Mohammed Sriti
  • Patent number: 6079013
    Abstract: A pipelined multiprocessor system for ESA/390 operations which executes a simple instruction set in a hardware controlled execution unit and executes a complex instruction set in a milli-mode architected state with a millicode sequence of simple instructions in the hardware controlled execution unit, comprising a plurality of CPU processors each of which is part of said multiprocessing system and capable of generating and responding to a quiesce request, and controls for system operations which allow the CPUs in the ESA/390 system to process the local buffer update portion of IPTE and SSKE operations without waiting for all other processors to reach an interruptible point, and then to continue program execution with minor temporary restrictions on operations until the IPTE or SSKE operation is globally completed. In addition, Licensed Internal Code (LIC) sequences are defined which allow these IPTE and SSKE operations to co-exist with other operations which require conventional system quiescing (i.e.
    Type: Grant
    Filed: April 30, 1998
    Date of Patent: June 20, 2000
    Assignee: International Business Machines Corporation
    Inventors: Charles Franklin Webb, Dean G. Bair, Mark Steven Farrell, Barry Watson Krumm, Pak-kin Mak, Jennifer Almoradie Navarro, Timothy John Slegel
  • Patent number: 6049864
    Abstract: A method for scheduling a flag generating instruction and a subsequent instruction. The subsequent instruction has a data dependency on the flag generating instruction. The flag generating instruction is translated into first and second instructions. The subsequent instruction is translated into at least a third instruction. The first instruction, when executed, generates a result and intermediate flag generation data. The second instruction, when executed, generates a plurality of flags. The first instruction is scheduled to execute before the second and third instructions. The second instruction is scheduled to execute before the third instruction if the third instruction has a data dependency on the second instruction, otherwise the third instruction may be scheduled to execute before the second instruction.
    Type: Grant
    Filed: August 20, 1996
    Date of Patent: April 11, 2000
    Assignee: Intel Corporation
    Inventors: Kin-Yip Liu, Ken Shoemaker, Gary Hammond, Anand Pai, Krishna Yellamilli
  • Patent number: 6021484
    Abstract: A system and method for executing CISC instructions in a RISC environment are disclosed. A mapper/interface circuit receives CISC instructions which can be from an x86 instruction set, translates them into compatible RISC instructions and forwards them to a RISC microprocessor for execution. The interface circuit is separate from the RISC microprocessor resulting in off-chip hardware translation which improves microprocessor efficiency and simplifies processor and hardware development. The instructions can be translated in groups which are defined by boundaries in the CISC instructions. One group of instructions can be forwarded to the microprocessor for execution while a subsequent group is simultaneously translated. The plug-in mapper/interface circuitry of the invention is plug compatible with an x86 processor such that the circuitry of the invention can be plugged into a standard x86 socket in a standard x86 mother board.
    Type: Grant
    Filed: November 14, 1997
    Date of Patent: February 1, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung Bae Park
  • Patent number: 6018796
    Abstract: A data processor comprises a processing unit which processes an instruction in pipeline stages, the number of which is switchable between n and m, m being a larger number than n. The data processor also comprises a switching unit for switching the number of the pipeline stages of the processing unit between n and m. The switching unit comprises an indicating unit for indicating whether the data processor is in a first operating condition or in a second operating condition, depending either on the frequency of the operation clock provided for the data processor or on the power source voltage supplied to the data processor, and a pipeline control unit for ordering a processing unit to operate in n stages under the first operation condition, and for ordering the processing unit to operate in m stages under the second operating condition.
    Type: Grant
    Filed: March 28, 1997
    Date of Patent: January 25, 2000
    Assignee: Matsushita Electric Industrial Co.,Ltd.
    Inventors: Masato Suzuki, Toru Morikawa, Nobuo Higaki, Shinya Miyaji
  • Patent number: 6012137
    Abstract: A special purpose reduced instruction set central processing unit (RISC CPU) for controlling digital audio/video decoding. The instruction set includes flow control instructions which incorporate immediate values, used to jump over a small number of instructions, and other instructions used for larger jumps. Also, instructions obtain data from the video decoder of the ASIC in a streamlined fashion, using video decoder addresses hard-coded into the RISC CPU. Further instructions perform manipulations of individual bits of registers used as state/status flags. The RISC CPU includes watchdog functions for monitoring the delivery of data to the RISC CPU from other functional units or from memory, so that the RISC CPU can execute instructions while delivery of data from memory or other functional units is pending, unless that data is necessary for program execution, in which case, program execution stalls until the data arrives.
    Type: Grant
    Filed: May 30, 1997
    Date of Patent: January 4, 2000
    Assignees: Sony Corporation, Sony Electronics Inc., Jointy
    Inventors: Moshe Bublil, Subroto Bose, Shirish C. Gadre, Taner Ozcelik
  • Patent number: 6009508
    Abstract: A computer system has instructions which have a reduction in the number of address bits relative to the number of data items that may be held during instruction execution. The instruction set comprises selectable instructions, a plurality of the instructions each including one set of bit locations identifying an operation to be carried out by execution of the instruction and a second set of bit locations to identify an address of a data storage location for use in execution of the instruction. The computer system further includes a plurality of addressable data storage locations for holding simultaneously a plurality of data values during execution of a sequence of instructions, with at least one of the data storage locations comprising a multi-value store requiring a single address in an instruction and arranged to hold a plurality of data values simultaneously on a first-in, first-out basis.
    Type: Grant
    Filed: September 26, 1997
    Date of Patent: December 28, 1999
    Assignee: SGS-Thomson Microelectronics Limited
    Inventors: Michael David May, Andrew Craig Sturges, Nathan Mackenzie Sidwell
  • Patent number: 5987593
    Abstract: The present invention provides a system and method for managing load and store operations necessary for reading from and writing to memory or I/O in a superscalar RISC architecture environment. To perform this task, a load/store unit is provided whose main purpose is to make load requests out-of-order whenever possible to get the load data back for use by an instruction execution unit as quickly as possible. A load operation can only be performed out-of-order if there are no address collisions and no write pendings. An address collision occurs when a read is requested at a memory location where an older instruction will be writing. Write pending refers to the case where an older instruction requests a store operation, but the store address has not yet been calculated. The data cache unit returns 8 bytes of unaligned data. The load/store unit aligns this data properly before it is returned to the instruction execution unit.
    Type: Grant
    Filed: November 3, 1997
    Date of Patent: November 16, 1999
    Assignee: Seiko Epson Corporation
    Inventors: Cheryl D. Senter, Johannes Wang, Brett Coon, Yoshiyuki Miyayama, Le Trong Nguyen
  • Patent number: 5983334
    Abstract: A system and method for extracting complex, variable length computer instructions from a stream of complex instructions each subdivided into a variable number of instructions bytes, and aligning instruction bytes of individual ones of the complex instructions. The system receives a portion of the stream of complex instructions and extracts a first set of instruction bytes starting with the first instruction bytes, using an extract shifter. The set of instruction bytes are then passed to an align latch where they are aligned and output to a next instruction detector. The next instruction detector determines the end of the first instruction based on said set of instruction bytes. An extract shifter is used to extract and provide the next set of instruction bytes to an align shifter which aligns and outputs the next instruction. The process is then repeated for the remaining instruction bytes in the stream of complex instructions.
    Type: Grant
    Filed: January 16, 1997
    Date of Patent: November 9, 1999
    Assignee: Seiko Epson Corporation
    Inventors: Brett Coon, Yoshiyuki Miyayama, Le Trong Nguyen, Johannes Wang
  • Patent number: 5974529
    Abstract: An instruction flow monitoring mechanism performs control flow error detection in a reduced instruction set computer (RISC) processor using signature monitoring. The signature monitoring is integrated into the RISC processor such that the instruction set of the RISC processor is enhanced to perform signature checking under all execution conditions. A signature monitor instruction causes the instruction flow to be checked for errors by comparing a pre-computed reference signature with a current signature and raising an error condition if the two signatures are unequal. The instruction also initializes the current signature.
    Type: Grant
    Filed: May 12, 1998
    Date of Patent: October 26, 1999
    Assignees: McDonnell Douglas Corp., TRW, Inc.
    Inventors: John F. Zumkehr, Amir A. Abouelnaga
  • Patent number: 5948097
    Abstract: A method and apparatus for performing a system call in a system having a user privilege level and a kernel privilege level, wherein the kernel privilege level is higher than the user privilege level is disclosed. A sequence of instructions is executed at the user privilege level including a first instruction that requires a resource provided at the kernel privilege level. Control is transferred to a first procedure executing at the user privilege level by performing a near call and saving only a pointer to the first instruction. The first procedure includes a calling instruction that does not save an architectural state prior to transferring control. Control is transferred from the first procedure to a second procedure executing at the kernel privilege level. The second procedure determines the resource required by the first instruction. Control is transferred from the second procedure to a third procedure that is determined by the second procedure.
    Type: Grant
    Filed: August 29, 1996
    Date of Patent: September 7, 1999
    Assignee: Intel Corporation
    Inventors: Andrew Glew, Scott Dion Rodgers
  • Patent number: 5922069
    Abstract: A reorder buffer is provided which decouples allocation of storage space within the buffer for storing instructions from forwarding of the corresponding operands. When instructions are presented to the reorder buffer for storage and dependency checking, the reorder buffer allocates storage for the instructions and corresponding instruction results. If an unresolved dependency is detected, the instructions remain stored in the reorder buffer but operand forwarding is delayed until the unresolved dependency becomes resolved. Advantageously, the previously included extra storage and multiplexing prior to dependency checking may be eliminated. Additional clock cycle time may be available for performing dependency checking. Additionally, area formerly occupied by the extra storage is freed for other purposes.
    Type: Grant
    Filed: October 27, 1998
    Date of Patent: July 13, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Wade A. Walker