Data Timing/clocking Patents (Class 714/814)
  • Patent number: 7685489
    Abstract: A semiconductor integrated circuit includes: an input/output cell that is included in a path captured during propagation delay testing and that has an output-stage buffer on an output bus; and a terminal connected to the output bus and an input bus of the input/output cell. An external load or a testing device is connectable to the terminal. The input/output cell has a switching part that is capable of switching between a first path that loops back at an output side of the output-stage buffer and a second path that loops back at an input side of the output-stage buffer. The first path is selected during normal operation and the second path is selected during the propagation delay testing.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: March 23, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Kazuhiro Takei, Koichi Otsuki
  • Patent number: 7665007
    Abstract: A method of reading a data block from a sector of a recording media is described. The data block from the sector of the recording channel is decoded with an ECC decoder (first trial). The data block is re-decoded (second trial) using an adjusted timing recovery block that is adjusted based on the decoded data block, if the number of errors exceeded an error correction capability of the ECC decoder on the first trial. In one embodiment, the data block is reread from the same sector of the recording channel using the adjusted timing recovery block that is adjusted based on the re-decoded data block. The data block is subsequently jointly decoded with the waveforms obtained from the second trial by a possibly modified sequence detector, if the number of errors exceeded the error correction capability of the ECC decoder during the second trial.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: February 16, 2010
    Assignee: Seagate Technology, LLC
    Inventors: Xueshi Yang, Erozan Kurtas
  • Patent number: 7665004
    Abstract: A timing generator that needs no analog circuit for adding jitters and allows the circuit scale and power consumption to be reduced.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: February 16, 2010
    Assignee: Advantest Corporation
    Inventors: Masakatsu Suda, Masahiro Ishida, Daisuke Watanabe
  • Patent number: 7640127
    Abstract: There is provided a detection apparatus including a transition point detecting unit operable to receive the output signal to detect the point of transition, a timing comparing unit operable to detect the signal level of the output signal in front of or behind the point of transition in the output signal, and a correction unit operable to compensate the timing of the point of transition detected from the transition point detecting unit based on the signal level of the output signal detected from the timing comparing unit.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: December 29, 2009
    Assignee: Advantest Corporation
    Inventor: Masaru Doi
  • Patent number: 7627807
    Abstract: Monitoring logic 20 for monitoring a data processor 10 to detect if it is not operating as anticipated, the monitoring logic 20 comprising: a timer 27 operable to measure a predetermined time; detection logic 24; and control logic 22; wherein said detection logic is operable to detect a data or instruction access to at least one predetermined address and in response to not detecting said data or instruction access within said predetermined time, said control logic is operable to send a control signal to said data processor, said control signal controlling said data processor to perform a predetermined operation.
    Type: Grant
    Filed: April 26, 2005
    Date of Patent: December 1, 2009
    Assignee: ARM Limited
    Inventors: Christopher Pedley, Jonathan Sean Callan, Hedley James Francis
  • Patent number: 7584317
    Abstract: A protocol conversion circuit performing a protocol conversion between a preceding stage circuit and a succeeding stage circuit includes a data storing unit storing input data from the preceding stage circuit, an output enable signal generating unit generating an output enable signal outputting data stored in the data storing unit to the succeeding stage circuit by using one or more parameters for the protocol conversion which are externally fed and can take a different value each time interval externally specified, and an address specifying unit specifying an address for read of an output data for the data storing unit based on the output enable signal.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: September 1, 2009
    Assignee: Fujitsu Limited
    Inventors: Yuki Sakai, Katsuhiro Yoda
  • Patent number: 7549092
    Abstract: There is provided an output controller with a test unit, which can test an appropriate delay amount according to an operating frequency under a real situation. The output controller includes an initial synchronizing unit for outputting a first output enable signal when a read CAS signal is activated; a plurality of synchronizing units connected in series to output an output signal of a previous stage as an output enable signal in synchronization with a corresponding driving clock, a first stage of the synchronizing units receiving the first output enable signal; and a test unit for adjusting a delay amount of an input clock according to a test signal and outputting the driving clock.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: June 16, 2009
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Ji-Eun Jang
  • Patent number: 7549101
    Abstract: There is provided a clock transferring apparatus for synchronizing a pattern signal synchronized with a reference clock with a variable clock based on an oscillation source different from that of the reference clock, having a rate clock generating section for generating a rate clock whose number of pulses within a predetermined period is almost equal with a number of pulses of the variable clock within the predetermined period by thinning out the pulses within the reference clock, a pattern generating section for generating the pattern signal corresponding to the pulses of the rate clock and a FIFO memory that stores data of the pattern signal corresponding to the pulses of the rate clock and outputs the stored data corresponding to the pulses of the variable clock.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: June 16, 2009
    Assignee: Advantest Corporation
    Inventor: Noriaki Chiba
  • Patent number: 7536632
    Abstract: A method for monitoring the availability of a data processing system is proposed. For example, the system runs a management application, which involves the periodic transmission of blocks of data from multiple local computers to a central computer. In the method of the invention, whenever a block of data must be transmitted by a generic local computer, an expected transmission delay of a next block of data is estimated; this information is then attached to the block of data. As a result, the central computer receiving the updated block of data can calculate an expected receiving time of the next block of data accordingly. If the next block of data is not received in due time, the central computer determines a failure of the local computer. Preferably, the central computer also scans a subset of ports of the local computer, so as to ascertain whether the problem is due to a temporary unavailability of the application or to an actual crash of the local computer.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: May 19, 2009
    Assignee: International Business Machines Corporation
    Inventors: Salvatore D'Alo, Arcangelo Di Balsamo, Alessandro Donatelli
  • Patent number: 7512033
    Abstract: An operation clock controller for preventing a semiconductor memory device from operating when an operation frequency of an external clock is higher than a predetermined frequency. The operation clock controller includes a clock buffer for buffering an external clock to output an internal clock; a unit delaying set for sequentially delaying the internal clock to output a plurality of delayed clocks; a phase detecting block for detecting logic levels of the delayed clocks at a rising edge of the internal clock to output phase detecting signals; a sampling pulse generator for outputting a sampling signal generated at a predetermined point of the internal clock; a latching block for outputting a phase detection latch signal by sampling and latching the phase detection signal at a point of the sampling signal being inputted; and a frequency detection block for outputting the frequency detection signal by logically combining the phase detection latch signal.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: March 31, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hwang Hur, Jun-Gi Choi
  • Patent number: 7512069
    Abstract: A method of measuring jitter of a packet flow includes identifying the data packet flow at each of a first and second network locations by analyzing the data fields of each of the packets in the flow. The method associates a transmit time code with each packet transmitted from the first location, and associates a receive time code with each packet received at the second location. The method calculates inter-arrival times for consecutive pairs of packets by (i) subtracting the transmit time code of the first packet from the transmit time code of the second packet, (ii) subtracting the receive time code associated with the first packet from the receive time code associated with the second packet, and (iii) subtracting the results. The method includes calculating a jitter value as a smoothed version of two or more inter-arrival times, smoothed over a predetermined number of pairs of consecutive packets.
    Type: Grant
    Filed: May 18, 2001
    Date of Patent: March 31, 2009
    Assignee: EXFO Service Assurance, Inc.
    Inventors: Kaynam Hedayat, Daniel S. Pyrik, Steven A. DesRochers
  • Patent number: 7512872
    Abstract: The apparatus includes a first variable delay circuit that delays a data signal from a device under test (DUT) to output a delay data signal; a second variable delay circuit that delays a clock signal to output a first delay clock signal; a first FF that acquires the delay data signal based on a reference clock; a second FF that acquires the first delay clock signal based on the clock; a first delay adjusting section that adjusts a delay amount of at least one of the first and second variable delay circuits so that the first and second FFs acquire the delay data signal and the first delay clock signal when the signals are changed; a third variable delay circuit that delays the clock signal to output a second delay clock signal; a second delay adjusting section that adjusts a delay amount of the third variable delay circuit based on the acquired first delay clock signal of which a phase is adjusted by the first delay adjusting section when the second delay clock is changed, in order to adjust a phase differenc
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: March 31, 2009
    Assignee: Advantest Corporation
    Inventors: Toshiaki Awaji, Takashi Sekino, Takayuki Nakamura
  • Patent number: 7496813
    Abstract: An integrated circuit 2 including functional circuits 4, 6 and a diagnostic circuit 10 passes a functional signal and a diagnostic signal to/from the integrated circuit using a shared integrated circuit pin 14. The functional signal and the diagnostic signal have relative forms such that they can be simultaneously communicated and respective independent physical communication channels provided therefore. Examples are the diagnostic signal being used to frequency, phase, amplitude or otherwise modulate a functional signal being passed. A diagnostic interface circuit 18 is provided to recover the diagnostic signal from the combined functional and diagnostic signal or to combine the functional and diagnostic signals.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: February 24, 2009
    Assignee: ARM Limited
    Inventors: Thomas Sean Houlihane, George James Milne
  • Patent number: 7484135
    Abstract: A semiconductor device includes a circuit block; a first signal path for guiding a test signal to a signal input terminal of the circuit block; a second signal path for guiding a test clock to a clock input terminal of the circuit block; a third signal path for guiding a test output signal from a output terminal of the circuit block to a pad via a dummy latch; and a fourth signal path for guiding the test output signal from the output terminal of the circuit block, to another pad. A dummy latch latches the test output signal at substantially a same speed as an operational latch during a normal operation. The third signal path has a wiring delay time from the output terminal to the dummy latch that is substantially equal to a wiring delay time from the output terminal to the operational latch.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: January 27, 2009
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Katsuaki Matsui
  • Patent number: 7480839
    Abstract: A circuit and method of qualified anomaly detection provides detection and triggering on specific analog anomalies and/or digital data within a qualified area of a serial data stream. A start pattern within the serial data stream, such as a packet header, is detected to generate an enable signal. A stop event, such as a packet trailer, a specified digital event, a time interval or the like, is identified to generate a disable signal. The enable and disable signals are combined to produce a qualification signal that allows a trigger circuit to trigger on a specified anomaly within the portion of the serial data stream defined by the qualification signal.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: January 20, 2009
    Assignee: Tektronix, Inc.
    Inventors: Patrick A. Smith, Roland E. Wanzenried
  • Patent number: 7464325
    Abstract: Detection as to the reproduction expiration time of contents is executed, using the measured time of a system clock managed based on system time data from a base station BS. If the reproduction expiration time of the contents is not exceeded, the contents can be reproduced, whereas if it is exceeded, the contents are not reproduced, and a message “Expired” is generated and displayed on a display.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: December 9, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Sakuma
  • Patent number: 7461286
    Abstract: A memory system includes a memory hub controller that sends write data to a plurality of memory modules through a downstream data bus and receives read data from the memory modules through an upstream data bus. The memory hub controller includes a receiver coupled to the upstream data bus and a transmitter coupled to the downstream data bus. Similarly, each of the memory modules includes a receiver coupled to the downstream data bus and a transmitter coupled to the upstream data bus. Each receiver includes a receive clock generator that is synchronized by coupling a known pattern of data to the receiver. The receiver determines which phase of the receive clock best captures the known pattern and uses that receive clock phase during normal operation.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: December 2, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Ralph James
  • Publication number: 20080184095
    Abstract: An apparatus for locking out a source synchronous strobe receiver, including a delay-locked loop (DLL) and receivers. The DLL receives a reference clock, and generates a select vector and an encoded select vector. The select vector is employed to select a delayed version of the reference clock that lags the reference clock by a prescribed number of cycles. The select vector is reduced by an amount and is gray encoded to indicate a first time. The receivers are each coupled to the delay-locked loop. Each of the receivers receives the encoded select vector and a corresponding strobe, and locks out reception of die corresponding strobe for a configurable lockout lime following transition of the corresponding strobe. The encoded select vector is employed by a gray code mux therein to determine the configurable lockout time by selecting a delayed version of the corresponding strobe.
    Type: Application
    Filed: March 19, 2007
    Publication date: July 31, 2008
    Applicant: VIA Technologies, Inc.
    Inventor: James R. Lundberg
  • Patent number: 7403866
    Abstract: Detection of whether more than a number N of events have occurred within a duration of time defined by a sliding time window includes performing an event detection procedure in response to each occurrence of an event. The event detection procedure includes associating a timestamp with the event, and determining a value, DeltaTimeStamp, based on a difference between the timestamp associated with the event and an earlier timestamp associated with an Nth previous event. The value DeltaTimeStamp is compared with a value representative of the duration of time defined by the sliding time window, and if a comparison criterion is satisfied then an events detected condition is indicated, wherein the events detected condition is defined as more than the number N of events occurring within the duration of time defined by the sliding time window.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: July 22, 2008
    Assignee: Telefonaktiebolaget L M Ericsson (publ)
    Inventor: M. Ali G. Nader
  • Patent number: 7400178
    Abstract: A method for selecting a data output clock signal includes providing a complementary output clock signal pair to a combinational logic circuit, thereby generating a reset control signal. The reset control signal is activated if the complementary output clock signals have different values, and deactivated if these clock signals have the same predetermined value. The activated reset control signal asynchronously resets a pair of series connected flip-flops. The deactivated reset control signal enables the flip-flops to synchronously propagate a fixed logic signal in response to a clock signal of a complementary input clock signal pair. The output signal of the series-connected flip-flops is used to select the data output clock signal from the first complementary clock signal pair and the second complementary clock signal pair.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: July 15, 2008
    Assignee: Integrated Device Technology, Inc.
    Inventor: Tak Kwang (Dino) Wong
  • Patent number: 7392465
    Abstract: Hard-open defects between logic gates of, for example, an address decoder and the voltage supply which result in logical and sequential delay behavior render a memory conditionally inoperative. A method and apparatus for testing integrated circuits for these types of faults is proposed, in which two cells of two logically adjacent rows or columns are written with complementary logic data. If a read operation reveals the data in the two cells to be identical, the presence and location of a hard-open defect is demonstrated.
    Type: Grant
    Filed: May 14, 2004
    Date of Patent: June 24, 2008
    Assignee: NXP B.V.
    Inventors: Mohamed Azimane, Ananta Kumar Majhi
  • Patent number: 7363556
    Abstract: A testing apparatus for testing a memory-under-test includes a writing section for writing preset test data into each page of said memory-under-test to test said memory-under-test and a fail memory unit for storing the test result of said memory-under-test. The fail memory unit includes a write time measuring section for measuring a write time required for writing said test data per each of said pages, an integrating section for integrating said write time across a plurality of said pages set in advance, and a judging section for judging whether or not said memory-under-test is defect-free by comparing a value integrated by said integrating section with an expected value set in advance. The integrating section further integrates said write time per page group having said predetermined number of pages. The judging section further judges whether or not said page group is defect-free based on an integral value of said write time per said page group.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: April 22, 2008
    Assignee: Advantest Corporation
    Inventors: Masaru Doi, Shinya Sato
  • Patent number: 7355936
    Abstract: First data representing user data and third data use the same error correction codes. The first data has a first error correction block structure and the third data has a second error correction block structure. That is to say, the first data and the third data have their respective error correction block structures proper for them. In particular, the recording density of the third data is made less dense than the recording density of the first data, and the number of correction codes in the first error-correction block is set at a multiple of m whereas the number of correction codes in the second error-correction block is set at n/m times the number of correction codes in the first error-correction block so that a data-piece count in the second error-correction block is also n/m times a data-piece count in the first error-correction block. As a result, it is possible to provide a good technique of recording shipping-time information onto a high-recording-density disc.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: April 8, 2008
    Assignee: Sony Corporation
    Inventor: Susumu Senshu
  • Patent number: 7349506
    Abstract: A method and semiconductor integrated circuit in which a receiver receives reception data and executes reception processing on the basis of a clock signal supplied from a PLL and a transmitter which receives parallel transmission data and executes serial transmission processing on the basis of the clock signal, and having a loop back function of supplying data output from the transmitter to the receiver for test. The receiver capable of executing control so as to make a phase of the input data coincide with that of a recovery clock.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: March 25, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yasushi Shizuki
  • Patent number: 7340629
    Abstract: A method is presented for enabling application-level software to normalize processor clock values within a multiprocessor data processing system. A first processor number associated with a first processor is obtained such that the first processor executes one or more instructions for obtaining the first processor number. Subsequent to obtaining the first processor number, a processor clock value is obtained such that the processor clock value is associated with a processor that executes one or more instructions for obtaining the processor clock value. Subsequent to obtaining the processor clock value, a second processor number associated with a second processor is obtained such that the second processor executes one or more instructions for obtaining the second processor number. If the first processor number and the second processor number are equal, then the first processor number is used to retrieve a compensation value for a normalization operation on the processor clock value.
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: March 4, 2008
    Assignee: International Business Machines Corporation
    Inventors: Clive Richard Kates, Frank Eliot Levine, Robert John Urquhart
  • Patent number: 7334014
    Abstract: A consistent time service that provides a method of maintaining deterministic clock-related operations for a group of replicas in a fault-tolerant distributed system. A consistent clock synchronization algorithm is utilized that yields a single consistent group clock for the replicas in the group, and does not require synchronization of the underlying physical hardware clocks. The consistent group clock ensures the determinism of the replicas in the group with respect to clock-related operations, is monotonically increasing, has bounded increment, skew and drift. The consistent time service provides benefits for active replication during normal operation, as well as passive replication and semi-active replication to ensure a consistent monotonically increasing clock when the primary replica fails and a backup replica takes over as the new primary replica.
    Type: Grant
    Filed: January 2, 2004
    Date of Patent: February 19, 2008
    Assignee: Availigent, Inc.
    Inventors: Louise E. Moser, Peter M. Melliar-Smith, Wenbing Zhao
  • Patent number: 7330993
    Abstract: According to one embodiment a computer system is disclosed. The computer system includes a bus and a chipset coupled to the bus. The chipset detects the slew rate of a signal transmitted over the bus by the chipset. In addition the chipset adjusts the slew rate based upon the state of the signal.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: February 12, 2008
    Assignee: Intel Corporation
    Inventors: Mahesh J. Deshmane, Mark A. Beiley, Luke A. Johnson
  • Patent number: 7327818
    Abstract: A sync pattern detection apparatus includes a sync pattern detection unit configured to detect a sync pattern from an input signal, a plurality of sync pattern protection units configured to protect the sync pattern detected by the sync pattern detection unit, a reliability evaluation unit configured to evaluate the reliabilities of a plurality of sync pattern protection situations by the plurality of sync pattern protection units, and a selection unit configured to select a sync pattern protected by a predetermined sync pattern protection unit, on the basis of the reliability evaluation of the plurality of sync pattern protection situations by the reliability evaluation unit.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: February 5, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tadashi Kojima, Koichi Otake
  • Patent number: 7321978
    Abstract: An overclock detector may define a plurality of detection periods based upon a reference clock signal. Further, the overclock detector may activate an overclock response in response to determining an operating clock signal generating too many cycles in each of a plurality of consecutive detection periods.
    Type: Grant
    Filed: December 15, 2004
    Date of Patent: January 22, 2008
    Assignee: Intel Corporation
    Inventor: Franklin D. Brodsky
  • Patent number: 7313753
    Abstract: A detector for detecting information carried by a signal having a sawtooth-like shape. The detector includes a first band-pass filter with center frequency around a first frequency value for filtering the signal and generating a first filtered signal, a second band-pass filter with center frequency around a second frequency value for filtering the signal and generating a second filtered signal, a first comparator for comparing the first filtered signal with a reference level and generating a first compared signal, a second comparator for comparing the second filtered signal with the reference level and generating a second compared signal, a clock generator for generating a reference clock having a frequency close to the first frequency value according to the second compared signal, and a detection module for generating a bit signal representing the information according to the first compared signal and the reference clock.
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: December 25, 2007
    Assignee: Mediatek Inc.
    Inventor: Tse-Hsiang Hsu
  • Patent number: 7310283
    Abstract: An operation clock controller for preventing a semiconductor memory device from operating when an operation frequency of an external clock is higher than a predetermined frequency. The operation clock controller includes a clock buffer for buffering an external clock to output an internal clock; a unit delaying set for sequentially delaying the internal clock to output a plurality of delayed clocks; a phase detecting block for detecting logic levels of the delayed clocks at a rising edge of the internal clock to output phase detecting signals; a sampling pulse generator for outputting a sampling signal generated at a predetermined point of the internal clock; a latching block for outputting a phase detection latch signal by sampling and latching the phase detection signal at a point of the sampling signal being inputted; and a frequency detection block for outputting the frequency detection signal by logically combining the phase detection latch signal.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: December 18, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hwang Hur, Jun-Gi Choi
  • Patent number: 7269524
    Abstract: Systems and methods for synchronizing communication between devices include using a test circuit to measure a propagation time through a delay circuit. The propagation time is used to determine an initial delay value within a delay lock loop. This delay value is then changed until a preferred delay value, resulting in synchronization, is found. In various embodiments, used of the initial delay value increases the speed, reliability or other beneficial features of the synchronization.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: September 11, 2007
    Assignee: Inapac Technology, Inc.
    Inventors: Adrian E. Ong, Douglas W. Gorgen
  • Patent number: 7260653
    Abstract: The present invention relates to a method of synchronization between communication networks exchanging information by frame of informations, each communication network having clock and the number of clock pulses is monitored by a counter the synchronization is made by reading information representing the counted clock pulses of the clock of the first network at the appearance of a reference event, inserting at least said information or calculated information on the basis of said information into the frame of information as the synchronization information, transferring said frame of information from the first to the second network, reading information representing the number of counted clock pulse of the clock of the second network at the appearance of reference event, reading synchronization information inserted in received frame of information from the first network, calculating a difference between information and synchronizing the second network.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: August 21, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventors: Lionel Le Scolan, Mohamed Braneci, Patrice Nezou, Pascal Rousseau
  • Patent number: 7249298
    Abstract: A scan based design architecture reduces pin count, test time and power consumption, and also allows the use of existing verified scan vectors by driving multiple scan chains from one scan input and utilizing multiple scan clocks. The scan vectors for multiple scan chains are sequentially applied to the scan input so as to broadcast the vectors to multiple scan chains, but only the bits for one scan chain are selectively clocked into that chain by a corresponding one of the multiple scan clocks. An output multiplexer can also be used to reduce the total number of test pins. The pin count can be further reduced by using a scan clock generator to generate the multiple scan clocks from a single scan clock input, and by using a select signal generator to generate select signals that control an output multiplexer.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: July 24, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Gyoochan Sim
  • Patent number: 7171611
    Abstract: An apparatus for determining the access time and the minimally allowable cycle time of a memory, comprising a clock for generating a signal which stimulates memory data output, programmable delay means for generating a delayed signal, sample-and-hold means for sampling the data output of the memory in response to the delayed signal, a comparator for comparing the sampled data to reference values, and a test status generator, wherein the test status depends on the results of more than one of the comparisons.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: January 30, 2007
    Assignee: Infineon Technologies AG
    Inventors: Vincent Gouin, Simone Borr, Yann Tellier
  • Patent number: 7146559
    Abstract: Detection as to the reproduction expiration time of contents is executed, using the measured time of a system clock managed based on system time data from a base station BS. If the reproduction expiration time of the contents is not exceeded, the contents can be reproduced, whereas if it is exceeded, the contents are not reproduced, and a message “Expired” is generated and displayed on a display.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: December 5, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Sakuma
  • Patent number: 7131016
    Abstract: A method, apparatus or stored program for adjusting the clock throttle rate of a central processing unit (CPU) included in a computer, in which the usage of the CPU is measured, so that the clock throttle rate of the CPU can be automatically adjusted on the measured usage of the CPU, thereby reducing the consumption of electric power without any influence on the performance of the computer.
    Type: Grant
    Filed: May 8, 2001
    Date of Patent: October 31, 2006
    Assignee: Microconnect LLC
    Inventors: Jang Geun Oh, Sang Ho Lee
  • Patent number: 7096104
    Abstract: Control unit for a load, in particular for a sliding sunroof control of a vehicle, having a microprocessor and having an integrated voltage regulator for generating the supply voltage of the microprocessor from the battery voltage of an on-board battery, in which case, in order to switch on the microprocessor the latter is fed its supply voltage by means of a wake-up pulse for a predetermined switch-on duration and said supply voltage is maintained as long as the microprocessor outputs trigger signals.
    Type: Grant
    Filed: August 1, 2002
    Date of Patent: August 22, 2006
    Assignee: Siemens Aktiengesellschaft
    Inventor: Herbert Stangl
  • Patent number: 7093153
    Abstract: A data processing system (100) comprises a system bus (120), a plurality of devices (110, 150, 160, 170) coupled to the system bus (120), a bus monitor circuit (140), and a clock generator (130). The plurality of devices (110, 150, 160, 170) includes at least one bus master (110, 150) which is capable of performing accesses on the system bus (120). The bus monitor circuit (140) is coupled to the at least one bus master (110, 150), and has an output for providing a bus idle signal to indicate that no bus master is attempting to perform an access on the system bus (120). The clock generator (130) has an output coupled to at least one of the plurality of devices (110, 150, 160, 170) and provides a bus clock signal having a first frequency when the bus idle signal is inactive and having a second frequency lower than the first frequency when the bus idle signal is active.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: August 15, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Richard T. Witek, Suzanne Plummer, James Joseph Montanaro, Stephen Charles Kromer, Kathryn Jean Hoover
  • Patent number: 7085970
    Abstract: A method, in an oversampling clock and data recovery system, for detecting that sampling is stuck taking place at a data edge, by detecting a data edge in an early or a late region relative to a good region and incrementing a stuck early or stuck late counter; and if one counter reaching a maximum, setting a condition indicating that sampling is stuck taking place at a data edge. If a data edge is detected in the good region, or in each of an early and a late region in a single data period, the stuck counters are reset to zero. The detection of which stuck counter has reached a maximum can cause the moving of a sampling clock forward or backward, ending when a data edge occurs in a good region, or in each of an early region and a late region in a single data period.
    Type: Grant
    Filed: July 23, 2002
    Date of Patent: August 1, 2006
    Assignee: International Business Machines Corporation
    Inventors: Gareth J. Nicholls, Alexander H. Ainscow, Jon D. Garlett, Bobak Modaress-Razavi, Vernon R. Norman, Martin L. Schmatz
  • Patent number: 7085993
    Abstract: A system and method for dynamically altering a clock speed of a clock signal used for timing of data signal transmissions and receptions within an integrated circuit (IC) device. The system includes a clock generator circuit for providing a clock signal used for timing of data signal transmission and reception within the IC; a monitoring circuit for receiving data transmissions generated at different clock speeds and detecting when a data transmission fail point is achieved at a particular clock speed; and, a device for adjusting the clock speed according to a maximum speed allowed for the IC that avoids the data transmission fail point.
    Type: Grant
    Filed: July 29, 2002
    Date of Patent: August 1, 2006
    Assignee: International Business Machine Corporation
    Inventors: Kenneth J. Goodnow, Peter J. Jenkins, Francis A. Kampf, Jason M. Norman, Sebastian T. Ventrone
  • Patent number: 7065703
    Abstract: A receiver in which sync data detection logic detects unencoded sync data at block boundaries of blocks encoded symbols received over a communications channel. Based on the detection of the sync data, the sync data detection logic determine synchronization information for one or more components of the receiver. It may also determine one or more system parameters by counting the number of symbols between successive instances of the sync data.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: June 20, 2006
    Assignee: Conexant Systems, Inc.
    Inventor: Abraham Krieger
  • Patent number: 7043684
    Abstract: The invention relates to a method of synchronizing two digital data streams with the same content, the method comprising the steps of: a) generating at given intervals for each of the two digital data streams S1 and S2 at least two characteristic numbers expressing at least one parameter characteristic of their content; b) generating from said numbers points D1 and D2 for each of the two streams S1 and S2 representing at least one of said characteristic parameters in a space of at least two dimensions, the points D1 corresponding to the stream S1 and the points D2 corresponding to the stream S2 that are situated in a time period T defining trajectories representative of the data streams S1 and S2 to be synchronized; c) shifting the time periods of duration T assigned to the digital data streams S1 and S2 relative to each other by calculating a criterion of superposition of said trajectories having an optimum value representing the required synchronization; d) choosing the shift between the time periods corres
    Type: Grant
    Filed: January 23, 2003
    Date of Patent: May 9, 2006
    Assignee: Telediffusion de France
    Inventor: Alexandre Joly
  • Patent number: 7043683
    Abstract: A data transmission update technique for use in a low power mode and/or a low activity mode of a computer system or a portion thereof is provided. When in the low power mode and/or the low activity mode, the technique initiates a testing of data transmissions, the results of which are used to adjust the timing of data receipt such that accurate and timely date communications are facilitated.
    Type: Grant
    Filed: February 7, 2003
    Date of Patent: May 9, 2006
    Assignee: Sun Microsystems, Inc.
    Inventors: Brian W. Amick, Claude R. Gauthier, Aninda Roy
  • Patent number: 6990615
    Abstract: A data and clock recovery circuit is provided for generating a recovered version of a transmitted data stream. The data and clock recovery circuit comprises three main circuit modules, namely a data recovery circuit, a clock recovery circuit, and a detector circuit. The data recovery circuit is arranged to receive a data stream, and to generate therefrom an estimate of the signal levels for each bit-period of the originally transmitted data stream. The estimates of the signal levels are stored within the data recovery circuit and are sampled by the clock recovery circuit so that the original data stream is recovered. The data recovery circuit is also arranged to generate a so-called “word metric” which is a quality factor representing the accuracy of the estimated signal levels. The clock recovery circuit is arranged to use both the received data stream, and the word metric generated in the data recovery circuit, to determine whether or not the current sampling time is optimal.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: January 24, 2006
    Assignee: Zarlink Semiconductor Limited
    Inventor: Alistair Goudie
  • Patent number: 6889357
    Abstract: Disclosed is an improved start-up/reset calibration apparatus and method for use in an SLDRAM memory device A 2N bit calibration pattern which is based on a pseudo random sequence is used to calibrate the relative timing of data and a latching clock signal to ensure optimal operation of the memory device. In addition, during calibration of one data path, other nearby data paths may receive in phase, out of phase and/or both in phase and out of phase versions of the calibration pattern so that the data path under calibration is calibrated under conditions which more closely approximate random operating conditions.
    Type: Grant
    Filed: May 10, 2000
    Date of Patent: May 3, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Brent Keeth, Brian Johnson, Terry R. Lee, Paul M. Fuller
  • Patent number: 6889334
    Abstract: A system for coordinating the timing of a data strobe with data supplied by a memory module to the memory controller read data FIFO of a processor-based system, providing multiple calibration modes. A calibration PDL (programmable delay line) is used to reiteratively test the time taken for a test data strobe to traverse a portion of the memory controller circuit, and to generate a calibration value based upon the time taken. The calibration procedure may be initiated in any one of several modes, including: according to a predetermined schedule; implemented in software; in response to changes in environmental factors such as temperature or voltages sampled at one or more locations; in response to a software-driven trigger; or in response to a user-initiated trigger, communicated to a system of the invention either by input via a user interface to the processor-based system or by a software command.
    Type: Grant
    Filed: October 2, 2001
    Date of Patent: May 3, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James R. Magro, Bruce A. Loyer, Pratik M. Mehta
  • Patent number: 6834367
    Abstract: A built-in self test system for testing a clock and data recovery circuit. The present invention provides a built-in self test circuit which operates with high speed phase lock loop. The built-in circuit comprises data generating means for generating a test data byte and serializing means coupled to the data generating means for converting the test data byte into serial test data. The clock and data recovery means are coupled to the output of the serializing means for recovering the clock and test data from the serial test data. A deserializing means coupled to the output of the clock and data recovery means converts the recovered serial test data into a recovered test data byte, and analyzing means connected to the output of the deserializing means compares the recovered test data byte to the initial test data byte.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: December 21, 2004
    Assignee: International Business Machines Corporation
    Inventors: Dominique P. Bonneau, Philippe Hauviller, Vincent Vallet
  • Patent number: 6829571
    Abstract: DC margin of a latch of a circuit under design is determined by performing three simulations. A simulation is performed to find the trip voltage of the forwarding inverter of the latch. A second simulation is performed to find the one margin of the latch. Lastly, a third simulation is performed to find the zero margin of the latch. During each of the simulations to find the one margin and the zero margin, the worst case input signal path from the various driver circuit elements and signal paths within the circuit under design is determined analytically by accumulating weighted resistance of each of the circuit elements along the signal paths. The weights assigned to the circuit elements are empirically determined based on the topology configuration of each of the circuit elements, e.g., the type circuit element, the signal being passed through the circuit element and whether a threshold voltage drop occurs between the drive circuit element and the pass circuit element.
    Type: Grant
    Filed: June 15, 2000
    Date of Patent: December 7, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Ted Scott Rakel, Douglas S Stirrett
  • Patent number: 6829671
    Abstract: The present invention provides a method and system for audio data retrieval from an optical media. The method includes reading a sector of audio data from the optical media, the sector comprising a sector data and a sector sub-code; collecting the sector sub-code; correcting any errors in the sector data in a fixed time period; calculating a time offset between a time for the collecting of the sector sub-code and the fixed time period; and matching the corrected sector data to the sector sub-code based on the calculated time offset. A method and system for retrieving audio data from an optical media has been disclosed. The present invention uses a fixed time period for the sector data error correction process. By using a fixed correction time, the sector data and the sector sub-code can be automatically matched based upon an offset calculated from the fixed correction time.
    Type: Grant
    Filed: April 4, 2000
    Date of Patent: December 7, 2004
    Assignee: ProMOS Technologies Inc.
    Inventors: (Paul) Phuc Thanh Tran, Thien-Phuc Nguyen Do, Tom Vu