Testing Of Logic Operation, E.g., By Logic Analyzers, Etc. (epo) Patents (Class 714/E11.155)
  • Publication number: 20110202804
    Abstract: A circuit and method provide built-in measurement of delay changes in integrated circuit paths. The circuit includes a digital shift register to access multiple paths, and may be implemented in digital boundary scan to test I/O pin delays. Synchronous to a first frequency, the circuit applies an alternating signal to the paths and samples the paths' output logic values synchronous with a second frequency that is asynchronous and coherent to the first clock frequency. The shift register conveys the samples to a modulo counter that counts the number of samples between consecutive rising or consecutive falling edges in the signal samples from a selected path. Between the two edges, the path or a path characteristic is changed, and the resulting modulo count after the second edge is proportional to the change in delay. The circuit can compare the count, or the difference between counts, to test limits.
    Type: Application
    Filed: January 31, 2011
    Publication date: August 18, 2011
    Inventor: Stephen Kenneth Sunter
  • Publication number: 20110185242
    Abstract: The disclosure describes a process and apparatus for accessing devices on a substrate. The substrate may include only full pin JTAG devices (504), only reduced pin JTAG devices (506), or a mixture of both full pin and reduced pin JTAG devices. The access is accomplished using a single interface (502) between the substrate (408) and a JTAG controller (404). The access interface may be a wired interface or a wireless interface and may be used for JTAG based device testing, debugging, programming, or other type of JTAG based operation.
    Type: Application
    Filed: April 1, 2011
    Publication date: July 28, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110185241
    Abstract: A method and system for compiling a representation of a source circuit including one or more source subchannels associated with portions of source logic driven by a plurality of clock domains are described. Each source subchannel may generate packets carrying signal data from one of the portions of the source logic. A representation of a destination circuit may be compiled to include one or more destination subchannels associated with portions of destination logic replicating the source logic. Each destination subchannel may forward the signal data via the packets to one of the portions of the destination logic. A switching logic may be configured to map the source subchannels to the destination subchannels as virtual channels to forward the packets from the source subchannels to the destination subchannels. A single queue may be configured to couple with the switching logic to record packets from the source subchannels into a packet stream for a delay period to distribute to the destination subchannels.
    Type: Application
    Filed: January 22, 2010
    Publication date: July 28, 2011
    Inventor: Robert Erickson
  • Publication number: 20110179326
    Abstract: Techniques are disclosed for reducing the set of initial candidates in signature based diagnosis methodology. These techniques are based on a unique way of making optimum use of information from logic back-cone tracing along with equations that describe the test response compactor.
    Type: Application
    Filed: February 23, 2009
    Publication date: July 21, 2011
    Applicant: MENTOR GRAPHICS CORPORATION
    Inventors: Manish Sharma, Wu-Tung Cheng, Thomas Rinderknecht
  • Publication number: 20110179325
    Abstract: A system for testing input/output pads of an integrated circuit includes boundary scan register chains, a test control unit and a test data processing unit. Input test data is provided to the test control unit, which then provides the test data to the test data processing unit. The test data processing unit processes the test data to obtain processed test data. Thereafter, the processed data is loaded in each of the boundary scan register chains in parallel. The processed test data is propagated sequentially through the plurality of boundary scan register chains to obtain output test data. The output test data is used to detect faults present in the input/output pads of the integrated circuit.
    Type: Application
    Filed: January 15, 2010
    Publication date: July 21, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC
    Inventors: Anuj Gupta, Himanshu Kukreja
  • Patent number: 7984352
    Abstract: A system comprises built-in self-test (BIST) logic configured to perform a BIST, processing logic coupled to the BIST logic and storage logic coupled to the processing logic. The storage logic comprises debug context information associated with a debugging session. Prior to performance of the BIST, the processing logic stores the debug context information to a destination. After performance of the BIST, the processing logic is reset, and the processing logic restores the debug context information from the destination to the storage logic.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: July 19, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Karl F. Greb
  • Publication number: 20110167309
    Abstract: A novel decompressor/PRPG on a microchip performs both pseudo-random test pattern generation and decompression of deterministic test patterns for a circuit-under-test on the chip. The decompressor/PRPG has two phases of operation. In a pseudo-random phase, the decompressor/PRPG generates pseudo-random test patterns that are applied to scan chains within the circuit-under test. In a deterministic phase, compressed deterministic test patterns from an external tester are applied to the decompressor/PRPG. The patterns are decompressed as they are clocked through the decompressor/PRPG into the scan chains. The decompressor/PRPG thus provides much better fault coverage than a simple PRPG, but without the cost of a complete set of fully-specified deterministic test patterns.
    Type: Application
    Filed: January 3, 2011
    Publication date: July 7, 2011
    Inventors: Janusz Rajski, Jerzy Tyszer, Mark Kassab, Nilanjan Mukherjee
  • Publication number: 20110167311
    Abstract: A system for testing or debugging a system including the integrated circuit having an embedded logic analyzer. In one embodiment, the system includes a computing device coupled to the logic analyzer for receiving the at least one output. A user interface run on the computing device assigns an attribute to at least one signal associated with the logic analyzer, determines a new signal or value not provided by the logic analyzer, the new signal or value being based upon the at least one signal as received from the logic analyzer and upon a predetermined definition, and presents the new signal or value to a system user.
    Type: Application
    Filed: December 31, 2010
    Publication date: July 7, 2011
    Inventors: James Ray Bailey, Christopher W. Case, Michael Anthony Marra, III
  • Publication number: 20110167310
    Abstract: An integrated circuit architecture including architecture for a scan based test, where the integrated circuit includes N scan chain sets including one or more scan chains and an input register bank. The input register bank includes an input for serially receiving an N-bit input vector synchronous with a first clock signal, and N-outputs configured to substantially simultaneously provide the N-bits of the received input vector as N separate output bits. The N separate output bits are used to provide test bits for simultaneously shifting into the respective inputs of the scan chain set synchronous with a second clock signal.
    Type: Application
    Filed: December 15, 2010
    Publication date: July 7, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventor: Mun Wai Tung
  • Publication number: 20110161757
    Abstract: An architecture for testing a plurality of circuits on an integrated circuit is described. The architecture includes a TAP Linking Module located between test pins on the integrated circuit and 1149.1 Test Access Ports (TAP) of the plurality of circuits to be tested. The TAP Linking Module operates in response to 1149.1 scan operations from a tester connected to the test pins to selectively switch between 1149.1 TAPs to enable test access between the tester and plurality of circuits. The TAP Linking Module's 1149.1 TAP switching operation is based upon augmenting 1149.1 instruction patterns to affix an additional bit or bits of information which is used by the TAP Linking Module for performing the TAP switching operation.
    Type: Application
    Filed: March 9, 2011
    Publication date: June 30, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Baher S. Haroun, Lee D. Whetsel
  • Publication number: 20110161755
    Abstract: Delay-fault testing and parametric analysis systems and methods utilizing one or more variable delay time-base generators. In embodiments of the delay-fault testing systems, short-delay logic paths are provided with additional scan-chain memory elements and logic that, in conjunction with the one or more variable-delay time-base generators, provides the effect of over-clocking without the need to over-clock. Related methods provide such effective over-clocking. In embodiments of parametric analysis systems, test point sampling elements and analysis circuitry are clocked as a function of the output of the one or more variable-delay time-base generators to provide various parametric analysis functionality. Related methods address this functionality.
    Type: Application
    Filed: March 9, 2011
    Publication date: June 30, 2011
    Applicant: DFT MICROSYSTEMS, INC.
    Inventor: Mohamed M. Hafed
  • Publication number: 20110161748
    Abstract: Embodiments of the invention are generally directed to systems, methods, and apparatuses for hybrid memory. In one embodiment, a hybrid memory may include a package substrate. The hybrid memory may also include a hybrid memory buffer chip attached to the first side of the package substrate. High speed input/output (HSIO) logic supporting a HSIO interface with a processor. The hybrid memory also includes packet processing logic to support a packet processing protocol on the HSIO interface. Additionally, the hybrid memory also has one or more memory tiles that are vertically stacked on the hybrid memory buffer.
    Type: Application
    Filed: December 31, 2009
    Publication date: June 30, 2011
    Inventors: Bryan Casper, Randy Mooney, Dave Dunning, Mozhgan Mansuri, James E. Jaussi
  • Publication number: 20110161759
    Abstract: A scan architecture and design methodology yielding significant reduction in scan area and power overhead is generally presented. In this regard, an apparatus is introduced comprising a plurality of combinatorial logic clouds, scan cells coupled with the combinatorial logic clouds, the scan cells to load test vectors, wherein the scan cells comprise a plurality of first type scan cells and second type scan cells sequentially coupled with separate combinatorial logic cloud outputs, and a first scan clock and a second scan clock, wherein the first scan clock controls the first type scan cells and the second scan clock controls the second type scan cells. Other embodiments are also described and claimed.
    Type: Application
    Filed: December 29, 2009
    Publication date: June 30, 2011
    Inventors: Talal K. Jaber, David M. Wu
  • Publication number: 20110161756
    Abstract: A integrated circuit include: a first selection circuit selecting first data from input-data or scan-data, scan-data being for performing a diagnosis of a combinational circuit, input-data being received from a combinational circuit; a first latch circuit holding first data as first output-data in accordance with a first signal; a second latch circuit holding first output-data as second output-data in accordance with which of the first signal and a second signal, the second signal being used to force the second latch circuit to hold first output-data; a third latch circuit holding first output-data as third output-data in accordance with which of the first signal and a third signal, the third signal being used to force the third latch circuit to hold first output-data; and a second selection circuit selecting second data from among the data which include second output-data and third output-data.
    Type: Application
    Filed: December 21, 2010
    Publication date: June 30, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Ryoichi INAGAWA
  • Publication number: 20110154140
    Abstract: The present disclosure describes novel methods and apparatuses for directly accessing JTAG Tap domains that exist in a scan path of many serially connected JTAG Tap domains. Direct scan access to a selected Tap domain by a JTAG controller is achieved using auxiliary digital or analog terminals associated with the Tap domain and connected to the JTAG controller. During direct scan access, the auxiliary digital or analog terminals serve as serial data input and serial data output paths between the selected Tap domain and the JTAG controller.
    Type: Application
    Filed: March 3, 2011
    Publication date: June 23, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110154142
    Abstract: A test device for a system-on-chip includes a sequential logic circuit and a test circuit. The sequential logic circuit generates a test input signal by converting a serial input signal into a parallel format in response to a serial clock signal and a serial enable signal and generates a serial output signal by converting a test output signal into a serial format in response to the serial clock signal and the serial enable signal. The test circuit includes at least one delay unit that is separated from a logic circuit performing original functions of the system-on-chip, performs a delay test on the at least one delay unit using the test input signal in response to a system clock signal and a test enable signal, and provides the test output signal to the sequential logic circuit, where the test output signal representing a result of the delay test.
    Type: Application
    Filed: November 12, 2010
    Publication date: June 23, 2011
    Inventors: Young-Jae SON, Yong-Jin YOON, Uk-Rae CHO
  • Publication number: 20110154139
    Abstract: A recording medium stores a program causing a computer to execute determining, for each test pattern measuring operation frequency of a circuit and based on a predicted delay of each path in the circuit, a path candidate determining a measured value obtained via the test pattern and activated by the test pattern; building, for each test pattern yielding a measured value determined by a given path candidate determined at the determining, a model equation expressing discrepancy between the measured value obtained via the test pattern and the predicted delay of the given path candidate, the model equation including parameters representing effects of each path activated by the test pattern on the discrepancy; calculating values of the parameters by using the model equations; determining based on the calculated values, a path determining the measured value obtained via the test pattern and activated by the test pattern; and outputting the determined path.
    Type: Application
    Filed: December 15, 2010
    Publication date: June 23, 2011
    Applicant: FUJITSU LIMITED
    Inventor: Tsutomu ISHIDA
  • Publication number: 20110145665
    Abstract: System and methods transfer data over a microcontroller system test interface. The system can read data from and write data to microcontroller system memory using the described method. The method provides for the efficient transfer of data, minimizing redundancies and overhead present in conventional microcontroller test system protocols.
    Type: Application
    Filed: February 23, 2011
    Publication date: June 16, 2011
    Applicant: ATMEL CORPORATION
    Inventors: Andreas Engh Halstvedt, Kai Kristian Amundsen, Frode Milch Pedersen
  • Publication number: 20110145667
    Abstract: The present disclosure describes a novel method and apparatus of using the JTAG TAP's TMS and TCK terminals as a general purpose serial Input/Output (I/O) bus. According to the present disclosure, the TAP's TMS terminal is used as a clock signal and the TCK terminal is used as a bidirectional data signal to allow serial communication to occur between; (1) an IC and an external controller, (2) between a first and second IC, or (3) between a first and second core circuit within an IC.
    Type: Application
    Filed: February 22, 2011
    Publication date: June 16, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110138240
    Abstract: Error detection circuitry is provided on a programmable logic resource. Programmable logic resource configuration data is loaded into a cyclic redundancy check (CRC) module where a checksum calculation may be performed. In one embodiment, the checksum may be compared to an expected value, which is a precomputed checksum on data prior to being programmed into or while data is being programmed into a programmable logic resource. In another embodiment, the expected value may be included in the checksum calculation. An output indicating whether an error is detected may be generated depending on the relationship between the checksum and the expected value, or on the value of the checksum. This output may be sent to an output pin that is accessible by user logic.
    Type: Application
    Filed: February 10, 2011
    Publication date: June 9, 2011
    Applicant: ALTERA CORPORATION
    Inventors: Ninh D. Ngo, Andy L. Lee, Kerry Veenstra
  • Publication number: 20110138239
    Abstract: Testing of die on wafer is achieved by; (1) providing a tester with the capability of externally communicating JTAG test signals using simultaneously bidirectional transceiver circuitry, (2) providing die on wafer with the capability of externally communicating JTAG test signals using simultaneously bidirectional transceiver circuity, and (3) providing a connectivity mechanism between the bidirectional transceiver circuitry's of the tester and a selected group or all of the die on wafer for communication of the JTAG signals.
    Type: Application
    Filed: February 8, 2011
    Publication date: June 9, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110138242
    Abstract: A method and apparatus to compact test responses containing unknown values or multiple fault effects in a deterministic test environment. The proposed selective compactor employs a linear compactor with selection circuitry for selectively passing test responses to the compactor. In one embodiment, gating logic is controlled by a control register, a decoder, and flag registers. This circuitry, in conjunction with any conventional parallel test-response compaction scheme, allows control circuitry to selectively enable serial outputs of desired scan chains to be fed into a parallel compactor at a particular clock rate. A first flag register determines whether all, or only some, scan chain outputs are enabled and fed through the compactor. A second flag register determines if the scan chain selected by the selector register is enabled and all other scan chains are disabled, or the selected scan chain is disabled and all other scan chains are enabled.
    Type: Application
    Filed: September 27, 2010
    Publication date: June 9, 2011
    Inventors: Janusz Rajski, Jerzy Tyszer, Mark Kassab, Nilanjan Mukherjee
  • Publication number: 20110138238
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Application
    Filed: February 16, 2011
    Publication date: June 9, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110126063
    Abstract: This invention is intended to insert test points in a logic circuit under test in an effective manner. The logic circuit testing apparatus includes a fault estimation unit that estimates fault likelihoods for each of signal lines in a logic circuit in accordance with wiring conditions obtained from design data for the logic circuit. The logic circuit testing apparatus also includes an insertion unit that inserts test points, based on the fault likelihoods. The logic circuit testing apparatus executes testing the logic circuit in which the test points were inserted by the insertion unit.
    Type: Application
    Filed: November 19, 2010
    Publication date: May 26, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Eiji HARADA
  • Publication number: 20110126064
    Abstract: Chain or logic diagnosis resolution can be enhanced in the presence of limited failure cycles using embodiments of the various methods, systems, and apparatus described herein. For example, pattern sets can be ordered according to a diagnosis coverage figure, which can be used to measure chain or logic diagnosability of the pattern set. Per-pin based diagnosis techniques can also be used to analyze limited failure data.
    Type: Application
    Filed: November 17, 2010
    Publication date: May 26, 2011
    Inventors: Yu Huang, Wu-Tung Cheng, Nagesh Tamarapalli, Janusz Rajski, Randy Klingenberg
  • Publication number: 20110119542
    Abstract: A semiconductor device test system has an interface for use with a semiconductor device test method, and a semiconductor device test method. In a first mode of an interface, in reaction to test signals corresponding to a test standard, for example, a JTAG test standard, and received by the interface from a test device, the interface outputs signals corresponding to the test standard to a semiconductor device to be tested. In a second mode of the interface, in reaction to test signals corresponding to the test standard and received by the interface from a test device, the interface outputs signals that do not correspond to the test standard to a semiconductor device to be tested.
    Type: Application
    Filed: January 18, 2011
    Publication date: May 19, 2011
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Harry Siebert
  • Publication number: 20110113298
    Abstract: A method of and an arrangement for testing connections on a printed circuit board between boundary-scan compliant circuit terminals of one or more boundary-scan compliant devices mounted at the printed circuit board and comprising a boundary-scan register of boundary-scan cells of the boundary-scan compliant circuit terminals. Under control of an electronic processing unit, boundary-scan properties of the or each boundary-scan compliant device are retrieved, a list comprising boundary-scan compliant circuit terminals is displayed, and a selection of at least a first and second boundary-scan compliant circuit terminal is received. Based on this selection, a boundary-scan cell of a first boundary-scan compliant circuit terminal of a boundary-scan compliant device is operated as a driver and a boundary-scan cell of a second boundary-scan compliant circuit terminal of a boundary-scan compliant device is operated as a sensor. The driver is controlled through data provided to the boundary-scan register.
    Type: Application
    Filed: November 8, 2010
    Publication date: May 12, 2011
    Inventor: Petrus Marinus Cornelis Maria VAN DEN EIJNDEN
  • Publication number: 20110113297
    Abstract: Apparatus and other embodiments associated with a distributed Joint Test Access Group (JTAG) test bus controller (TBC) architecture are described. One example method includes providing first on-board scan programming (OSP) data to a first circuit board configured with a first TBC and located in a computer. The example method also includes providing second OSP data to a second circuit board configured with a second test bus controller and located in the same computer. The example method also includes controlling OSP to be performed at least partially in parallel on the first circuit board and the second circuit board.
    Type: Application
    Filed: November 9, 2009
    Publication date: May 12, 2011
    Inventors: David MACIOROWSKI, Christopher Shawn KROEGER
  • Publication number: 20110107163
    Abstract: This disclosure describes a reduced pin bus that can be used on integrated circuits or embedded cores within integrated circuits. The bus may be used for serial access to circuits where the availability of pins on ICs or terminals on cores is limited. The bus may be used for a variety of serial communication operations such as, but not limited to, serial communication related test, emulation, debug, and/or trace operations of an IC or core design. Other aspects of the disclosure include the use of reduced pin buses for emulation, debug, and trace operations and for functional operations. In a fifth aspect of the present disclosure, an interface select circuit, FIGS. 41-49, provides for selectively using either the 5 signal interface of FIG. 41 or the 3 signal interface of FIG. 8.
    Type: Application
    Filed: January 6, 2011
    Publication date: May 5, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110099440
    Abstract: A test system includes a computer and an interface device for accessing a scan chain on an application specific integrated circuit (ASIC) under test. The computer includes a memory that contains application software that when executed by the computer quantifies soft errors and soft error rates (SER) in storage elements on the ASIC. The interface device receives commands and data from the computer, translates the commands and data from a first protocol to a second protocol and communicates the commands and data in the second protocol to the ASIC. A method for measuring SER in the ASIC includes baseline, comparison, and latch up accesses of data in a scan chain in the ASIC. Between accesses, the ASIC is exposed to a neutron flux that accelerates the occurrence of soft errors due to ionizing radiation upon the ASIC.
    Type: Application
    Filed: October 23, 2009
    Publication date: April 28, 2011
    Inventors: Marcus Mims, J. Ken Patterson, Ronald W. Kee
  • Publication number: 20110099442
    Abstract: A test controller implemented in an integrated circuit (IC) with partitioned scan chains provides enhanced control in performing scan tests. According to an aspect, a test controller can selectively control scan-in, scan-out and capture phases of scan tests for different scan chains of the IC to be independent. The number of pins required to interface the test controller with an external tester is less than the number of partitions that the test controller can support. According to another aspect, an IC includes a register corresponding to each partition to support transition fault (or LOS) testing. According to another aspect, an IC with partitioned scan chains includes serial to parallel and parallel to serial converters, thereby minimizing the external pins required to support scan tests.
    Type: Application
    Filed: October 23, 2009
    Publication date: April 28, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Alan David Hales, Srujan Kumar Nakidi, Rubin Ajit Parekhji, Srivaths Ravi, Rajesh Kumar Tiwari
  • Publication number: 20110099443
    Abstract: Provided is a test apparatus that tests a device under test, comprising a plurality of test circuits that each perform a predetermined test function; a plurality of I/O circuits that are provided between the test circuits and the device under test, where at least one of the circuits has electrical characteristics that differ from the electrical characteristics of the other circuits; and an I/O switching section that switches which of the I/O circuits is used to electrically connect at least one of the test circuits to the device under test.
    Type: Application
    Filed: November 18, 2010
    Publication date: April 28, 2011
    Applicant: ADVANTEST CORPORATION
    Inventors: Masahiro ISHIDA, Daisuke WATANABE, Toshiyuki OKAYASU
  • Publication number: 20110093751
    Abstract: An electronic circuit having a boundary scan test circuit receives, though one pin, an embedded clock encoded test signal having an encoded bit stream having occurrences of a first header followed by at least one encoded boundary scan mode bit and an encoded second header followed by at least one boundary scan test input bit. The bit stream and the clock are extracted and occurrences of the first header and second header are detected. Based on the detected occurrences the boundary scan mode bits and boundary scan input bits are identified and distributed to the electronic circuit, along with the extracted clock, and boundary scan test is performed.
    Type: Application
    Filed: October 19, 2009
    Publication date: April 21, 2011
    Applicant: NXP B.V.
    Inventors: Henk Boezen, Leon Van de Logt, Liquan Fang
  • Publication number: 20110087937
    Abstract: A scan test architecture facilitates low power testing of semiconductor circuits by selectively dividing the serial scan paths into shorter sections. Multiplexers between the sections control connecting the sections into longer or shorted paths. Select and enable signals control the operation of the scan path sections. The output of each scan path passes through a multiplexer to compare circuits on the semiconductor substrate. The compare circuits also receive expected data and mask data. The compare circuits provide a fail flag output from the semiconductor substrate.
    Type: Application
    Filed: December 13, 2010
    Publication date: April 14, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110087940
    Abstract: The present disclosure describes using the JTAG Tap's TMS and/or TCK terminals as general purpose serial Input/Output (I/O) Manchester coded communication terminals. The Tap's TMS and/or TCK terminal can be used as a serial I/O communication channel between; (1) an IC and an external controller, (2) between a first and second IC, or (3) between a first and second core circuit within an IC. The use of the TMS and/or TCK terminal as serial I/O channels, as described, does not effect the standardized operation of the JTAG Tap, since the TMS and/or TCK I/O operations occur while the Tap is placed in a non-active steady state.
    Type: Application
    Filed: December 13, 2010
    Publication date: April 14, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110078525
    Abstract: An apparatus and a method for enhancing the use of automated test equipment (ATE), are presented. The apparatus comprises a test load board that mounts a plurality of devices to be tested (DUTs), and a daughter card communicating with the test board and the ATE, testing each of the plurality of devices, and providing test results to the ATE. The method comprises mounting a plurality of devices to be tested on the test load board, using the daughter card to communicate with the test board and the ATE, and using the daughter card for testing each of the plurality of DUTs, providing test results to the ATE. Also provided is a system to perform automated tests of integrated chips, comprising an ATE scan test unit, an off-load tester resource coupled to the ATE scan test unit, a processor executing commands to control the ATE unit and the off-load tester resource.
    Type: Application
    Filed: September 30, 2009
    Publication date: March 31, 2011
    Applicant: INTEGRATED DEVICE TECHNOLOGY, INC.
    Inventors: Yu XIA, Dale Ventura, Ashok Ramachandran
  • Publication number: 20110078523
    Abstract: An output control scan flip-flop according to an exemplary aspect of the present invention can control an output value to be held and inverted irrespective of an input value. The output control scan flip-flop includes a scan flip-flop; a storage element that operates in synchronization with a clock signal and stores first input data externally supplied; an exclusive-OR logic circuit that receives an output signal from the storage element and an output signal from the scan flip-flop; and a selector that receives second input date externally supplied, an output signal from the exclusive-OR logic circuit, and a select signal externally supplied, and supplies an output signal to the scan flip-flop.
    Type: Application
    Filed: September 27, 2010
    Publication date: March 31, 2011
    Inventor: Mikihiro KANOMATA
  • Publication number: 20110072325
    Abstract: A process and apparatus provide a JTAG TAP controller (302) to access a JTAG TAP domain (106) of a device using a reduced pin count, high speed DDR interface (202). The access is accomplished by combining the separate TDI and TMS signals from the TAP controller into a single signal and communicating the TDI and TMS signals of the single signal on the rising and falling edges of the TCK driving the DDR interface. The TAP domain may be coupled to the TAP controller in a point to point fashion or in an addressable bus fashion. The access to the TAP domain may be used for JTAG based device testing, debugging, programming, or other type of JTAG based operation.
    Type: Application
    Filed: December 1, 2010
    Publication date: March 24, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110066907
    Abstract: The disclosure describes a novel method and apparatuses for allowing a controller to select and access different types of access ports in a device. The selecting and accessing of the access ports is achieved using only the dedicated TDI, TMS, TCK, and TDO signal terminals of the device. The selecting and accessing of device access ports can be achieved when a single device is connected to the controller, when multiple devices are placed in a daisy-chain arrangement and connected to the controller, or when multiple devices are placed in a addressable parallel arrangement and connected to the controller. Additional embodiments are also provided and described in the disclosure.
    Type: Application
    Filed: September 13, 2010
    Publication date: March 17, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110060954
    Abstract: A semiconductor device comprises processing logic arranged to execute program instructions. The semiconductor device further comprises signature generation logic arranged to receive at least one value from at least one internal location of the semiconductor device, and to generate a current signature value, based on the at least one received value. Validation logic is arranged to validate the current signature value generated by the signature generation logic. The processing logic is further arranged, upon execution of a signature validation instruction, to enable the validation of the current signature value provided by the validation logic.
    Type: Application
    Filed: May 27, 2008
    Publication date: March 10, 2011
    Inventor: Oleksandr Sakada
  • Publication number: 20110055651
    Abstract: A test pattern generating unit generates a test pattern in which unconverted data is arranged such that same values of 0 or 1 bits in converted data according to a code conversion table are successively transferred to each of a plurality of serial transfer channels that a high-speed serial transfer device has. A basic pattern setting unit sets a basic pattern while considering a byte order method and an RD value of code conversion in the high-speed serial transfer device. A basic pattern resetting unit resets the basic pattern in accordance with a channel usage method of a bit transfer order in the high-speed serial transfer device. A basic pattern rearranging unit performs rearrangement such that the basic pattern is transferred to each of the channels in accordance with the number of used channels and a channel usage method such as bit transfer order in the high-speed serial transfer device.
    Type: Application
    Filed: November 5, 2010
    Publication date: March 3, 2011
    Applicant: Fujitsu Limited
    Inventor: Tetsuo Kurayama
  • Publication number: 20110055648
    Abstract: A device and a method for testing a connectivity between a first device and a second device, the method includes: writing, at a first frequency and in a serial manner, a first test word to a source boundary scan register; writing a content of the source boundary scan register, at a second frequency and in a parallel manner, to a target boundary scan register; wherein the second frequency is higher than the first frequency; reading the content of the target boundary scan register; wherein the source and target boundary scan registers are selected from a first boundary scan register of the first device and a second boundary scan register of the second device; and evaluating a connectivity between the first and second device in response to a relationship between the first test word and the content of the target boundary scan register.
    Type: Application
    Filed: August 31, 2009
    Publication date: March 3, 2011
    Inventors: MICHAEL PRIEL, Leonid Fleshel, Anton Rozen
  • Publication number: 20110047427
    Abstract: An integrated circuit including a logic analyzer with enhanced analyzing and debugging capabilities and a method therefor. In one embodiment, an integrated circuit includes a logic analyzer having a first input receiving a plurality of signals and an output for providing an indication of a detection, by the logic analyzer, of at least one trigger event; and a built in self test block having a first input for receiving one or more of the signals appearing at the first input of the logic analyzer, a second input coupled to the output of the logic analyzer for selectively enabling the BIST block, the BIST block generating and maintaining a signature based upon the first and second inputs thereof.
    Type: Application
    Filed: September 8, 2010
    Publication date: February 24, 2011
    Inventors: James Ray Bailey, Christopher Wilson Case, James Patrick Sharpe
  • Publication number: 20110047425
    Abstract: On-chip logic includes a shadow register cross-coupled with a multiple input shift/signature register (MISR). The shadow register facilitates debugging by shifting out a test signature while resetting the MISR with a fault-free signature. The on-chip logic may further include comparator circuitry to produce an output signal by comparing the test signature with the fault-free signature or by first compressing the test signature and then comparing the compressed test signature with the compressed fault-free signature.
    Type: Application
    Filed: December 1, 2009
    Publication date: February 24, 2011
    Inventors: Friedrich Hapke, Juergen Schloeffel, Michael Wittke, Rene Krenz-Baath
  • Publication number: 20110047426
    Abstract: A low-pin-count scan compression method and apparatus for reducing test data volume and test application time in a scan-based integrated circuit. The scan-based integrated circuit contains one or more scan chains, each scan chain comprising one or more scan cells coupled in series. The method and apparatus includes a programmable pipelined decompressor comprising one or more shift registers, a combinational logic network, and an optional scan connector. The programmable pipelined decompressor decompresses a compressed scan pattern on its compressed scan inputs and drives the generated decompressed scan pattern at the output of the programmable pipelined decompressor to the scan data inputs of the scan-based integrated circuit. Any input constraints imposed by said_combinational logic network are incorporated into an automatic test pattern generation (ATPG) program for generating the compressed scan pattern for one or more selected faults in one-step.
    Type: Application
    Filed: August 24, 2009
    Publication date: February 24, 2011
    Inventors: Nur A. TOUBA, Laung-Terng Wang, Zhigang Jiang, Shianling Wu, Jianping Yan
  • Publication number: 20110047423
    Abstract: An integrated circuit including a logic analyzer with enhanced analyzing and debugging capabilities and a method therefor. In one embodiment of the present invention, an embedded logic analyzer (ELA) receives a plurality of signals from a plurality of buses within an integrated circuit (IC). The ELA includes an interconnect module to select a trigger signal and/or a sampled signal from the plurality of received signals. A trigger module sets at least one trigger condition and detects if the trigger signal satisfies the at least one trigger condition. When the trigger condition is satisfied, an output module performs at least one task based upon the satisfied at least one trigger condition. If a sampling process is initiated by the output module, the plurality of sampled signals is sampled and may be stored in a memory. The capability of the output module to perform multiple user-defined tasks enhances the debugging capability of the ELA and makes it more versatile.
    Type: Application
    Filed: September 8, 2010
    Publication date: February 24, 2011
    Inventor: James Ray Bailey
  • Publication number: 20110041017
    Abstract: In one embodiment, the present invention includes a semiconductor die such as a system on a chip (SoC) that includes a logic analyzer with a built-in trace buffer to store information communicated between on-die agents at speed and to provide the information to an off-die agent at a slower speed. Other embodiments are described and claimed.
    Type: Application
    Filed: August 14, 2009
    Publication date: February 17, 2011
    Inventors: Tina C. Zhong, Jason G. Sandri, Kenneth P. Griesser, Lori R. Borger
  • Publication number: 20110041020
    Abstract: A shift register circuit for providing plural scan signals and plural emission signals includes a plurality of shift register stages. Each shift register stage includes a scan signal generation module and an emission signal generation module. The scan signal generation module is utilized for generating a first scan signal and a second scan signal according to a first clock and a second clock having a phase opposite to the first clock. The first and second scan signals have pulses opposite to each other. The pulse width of the first scan signal is substantially twice that of the first clock. The emission signal generation module is utilized for generating an emission signal according to a third clock and a fourth clock having a phase opposite to the third clock. The pulse width of the emission signal is substantially identical to that of the third clock.
    Type: Application
    Filed: December 17, 2009
    Publication date: February 17, 2011
    Inventor: Chun-Yen Liu
  • Publication number: 20110035638
    Abstract: A debug flow that uses debug-friendly test patterns and logic fault diagnosis techniques to help physical fault isolation of timing failures.
    Type: Application
    Filed: April 7, 2009
    Publication date: February 10, 2011
    Inventor: Ruifeng Guo
  • Publication number: 20110029828
    Abstract: A circuit for detecting a fault injection in an integrated circuit including: at least one logic block for performing a logic function of said integrated circuit; an isolation block coupled to receive a signal to be processed and an isolation enable signal indicating a functional phase and a detection phase of the logic block, the isolation block applying, during the functional phase, the signal to be processed to at least one input of the logic block, and during the detection phase, a constant value to the input of the logic block; and a detection block adapted to monitor, during the detection phase, the state of the output signal of the logic block, and to generate an alert signal in case of any change in the state of the output signal.
    Type: Application
    Filed: July 29, 2010
    Publication date: February 3, 2011
    Applicant: STMicroelectronics (Rousset) SAS
    Inventors: Frédéric Bancel, Nicolas Berard