Patents Assigned to Brewer Science Inc.
  • Publication number: 20130288064
    Abstract: New carbon nanotube (CNT) compositions and methods of using those compositions are provided. Raw carbon nanotubes are mechanically dispersed via milling into multifunctional alcohols and mixtures of multifunctional alcohols and solvents to form pastes or dispersions that are viscous enough to be printed using standard means such as screen printing. These pastes or dispersions are stable in both dilute and concentrated solution. The invention allows films to be formed on substrates (e.g., plastics, glass, metals, ceramics).
    Type: Application
    Filed: April 25, 2013
    Publication date: October 31, 2013
    Applicant: Brewer Science Inc.
    Inventor: Molly Hladik
  • Publication number: 20130280656
    Abstract: Photosensitive, developer-soluble bottom anti-reflective coatings are described. Compositions and methods of forming the same are also disclosed along with resulting microelectronic structures. The anti-reflective compositions comprise a multi-functional epoxy compound having multiple epoxy moieties pendant therefrom and one or more crosslinkable chromophores bonded thereto. The compounds are dispersed or dissolved in a solvent system with a vinyl ether crosslinker and can be used to create crosslinkable and de-crosslinkable coatings for microelectronics fabrication.
    Type: Application
    Filed: April 22, 2013
    Publication date: October 24, 2013
    Applicant: Brewer Science Inc.
    Inventors: Joyce Lowes, Jinhua Dai, Alice Guerrero
  • Publication number: 20130273330
    Abstract: Compositions for directed self-assembly patterning techniques are provided which avoid the need for separate anti-reflective coatings and brush neutral layers in the process. Methods for directed self-assembly are also provided in which a self-assembling material, such as a directed self-assembly block copolymer, can be applied directly to the silicon hardmask neutral layer and then self-assembled to form the desired pattern. Directed self-assembly patterned structures are also disclosed herein.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 17, 2013
    Applicant: Brewer Science Inc.
    Inventors: Yubao Wang, Mary Ann Hockey, Douglas J. Guerrero, Vandana Krishnamurthy, Robert C. Cox
  • Publication number: 20130201635
    Abstract: This invention is related to compositions that prepare substrate surfaces to enable temporary wafer bonding during microelectronics manufacturing, especially using a zonal bonding process. This invention, which comprises compositions made from fluorinated silanes blended in a polar solvent, can be used to form surface coatings or treatments having a high contact angle with water (>85°). The resulting silane solutions are stable at room temperature for longer than one month.
    Type: Application
    Filed: February 8, 2013
    Publication date: August 8, 2013
    Applicant: BREWER SCIENCE INC.
    Inventor: BREWER SCIENCE INC.
  • Publication number: 20130186851
    Abstract: Nonpolymeric compounds, compositions, and methods for forming microelectronic structures, and the structures formed therefrom are provided. The nonpolymeric compounds are ring-opened, epoxide-adamantane derivatives that comprise at least two epoxy moieties and at least one adamantyl group, along with at least one chemical modification group, such as a chromophore, bonded to a respective epoxy moiety. Anti-reflective and/or planarization compositions can be formed using these compounds and used in lithographic processes, including fabrication of microelectronic structures.
    Type: Application
    Filed: January 17, 2013
    Publication date: July 25, 2013
    Applicant: BREWER SCIENCE INC.
    Inventor: Brewer Science Inc.
  • Publication number: 20130189524
    Abstract: Novel compositions comprising polymer solutions at various viscosities are provided. The polymer solutions are preferably fugitive, so as to avoid interfering with the properties of the nanomaterials after post-processing of the CNT-containing formulations. Additives, including acid generators, are added to the polymer solutions in order to allow the polymer carrier solutions to be degraded or decomposed at temperatures low enough to allow processing of commonly-used polymer film substrates. The invention further allows the carbon nanotube solutions to be screen printed or printed via inkjet.
    Type: Application
    Filed: January 22, 2013
    Publication date: July 25, 2013
    Applicant: BREWER SCIENCE INC.
    Inventor: Brewer Science Inc.
  • Publication number: 20130129995
    Abstract: The present invention provides novel methods of fabricating microelectronics structures, and the resulting structures formed thereby, using EUV lithographic processes. The method involves utilizing an assist layer immediately below the photoresist layer. The assist layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred assist layers are formed from spin-coatable, polymeric compositions. The inventive method allows reduced critical dimensions to be achieved with improved dose-to-size ratios, while improving adhesion and reducing or eliminating pattern collapse issues.
    Type: Application
    Filed: November 20, 2012
    Publication date: May 23, 2013
    Applicant: Brewer Science Inc.
    Inventor: Brewer Science Inc.
  • Patent number: 8445591
    Abstract: New protective coating layers for use in wet etch processes during the production of semiconductor and MEMS devices are provided. The layers include a primer layer, a first protective layer, and an optional second protective layer. The primer layer preferably comprises an organo silane compound in a solvent system. The first protective layer includes thermoplastic copolymers prepared from styrene, acrylonitrile, and compatible compounds such as monomers, oligomers, and polymers comprising epoxy groups; poly(styrene-co-allyl alcohol); and mixtures thereof. The second protective layer comprises a highly halogenated polymer such as a chlorinated polymer to which may or may not be crosslinked upon heating.
    Type: Grant
    Filed: January 30, 2012
    Date of Patent: May 21, 2013
    Assignee: Brewer Science Inc.
    Inventors: Gu Xu, Kimberly A. Yess, Tony D. Flaim
  • Publication number: 20130113086
    Abstract: Planarization methods and microelectronic structures formed therefrom are disclosed. The methods and structures use planarization materials comprising fluorinated compounds or acetoacetylated compounds. The materials are self-leveling and achieve planarization over topography without the use of etching, contact planarization, chemical mechanical polishing, or other conventional planarization techniques.
    Type: Application
    Filed: November 8, 2012
    Publication date: May 9, 2013
    Applicant: BREWER SCIENCE INC.
    Inventor: Brewer Science Inc.
  • Publication number: 20130089716
    Abstract: The invention described herein is directed towards spin-on carbon materials comprising polyamic acid compositions and a crosslinker in a solvent system. The materials are useful in trilayer photolithography processes. Films made with the inventive compositions are not soluble in solvents commonly used in lithographic materials, such as, but not limited to PGME, PGMEA, and cyclohexanone. However, the films can be dissolved in developers commonly used in photolithography. In one embodiment, the films can be heated at high temperatures to improve the thermal stability for high temperature processing. Regardless of the embodiment, the material can be applied to a flat/planar or patterned surface. Advantageously, the material exhibits a wiggling resistance during pattern transfer to silicon substrate using fluorocarbon etch.
    Type: Application
    Filed: October 10, 2012
    Publication date: April 11, 2013
    Applicant: BREWER SCIENCE INC.
    Inventor: Brewer Science Inc.
  • Patent number: 8415083
    Abstract: This invention provides methods of creating via or trench structures on a developer-soluble hardmask layer using a multiple exposure-development process. The hardmask layer is patterned while the imaging layer is developed. After the imaging layer is stripped using organic solvents, the same hardmask can be further patterned using subsequent exposure-development processes. Eventually, the pattern can be transferred to the substrate using an etching process.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: April 9, 2013
    Assignee: Brewer Science Inc.
    Inventors: Sam X. Sun, Hao Xu, Tony D. Flaim
  • Patent number: 8408222
    Abstract: New baffles and methods of using these baffles are provided. The baffles comprise a body having an edge wall configured to direct the flow of a composition against a substrate (e.g., silicon wafer) edge. The edge wall comprises a vertical surface, a curved sidewall coupled to the vertical surface, and a lip coupled to the curved sidewall. A preferred baffle is annular in shape and formed from a synthetic resinous composition. Even more preferably, the baffle is not formed of a metal. The inventive methods comprise positioning the baffle adjacent a substrate during a spin coating process so that the edge wall causes the composition to cover the edges of the substrate and preferably a portion of the back side of the substrate.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: April 2, 2013
    Assignee: Brewer Science Inc.
    Inventors: Gary J. Brand, Philip H. Allen, Ramachandran K. Trichur
  • Patent number: 8399346
    Abstract: Scratch-resistant coatings for protecting front-side microelectromechanical and semiconductor device features during backside processing are provided, along with methods of using the same. The coatings are non-photosensitive, removable, and tolerate high processing temperatures. These coatings also eliminate the need for a separate etch stop layer in the device design. The coatings are formed from a composition comprising a component dissolved or dispersed in a solvent system. The component is selected from the group consisting of styrene-acrylonitrile copolymers and aromatic sulfone polymers.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: March 19, 2013
    Assignee: Brewer Science Inc.
    Inventors: Kimberly A. Yess, Madison M. Daily, Jr., Tony D. Flaim
  • Publication number: 20130061869
    Abstract: New methods of weakening the bonds between a bonded pair of wafers or substrates are provided. The substrates are preferably bonded at their outer peripheries. When it is desired to separate the substrates, they are contacted with a solvent system suitable for weakening, softening, and/or dissolving the bonding composition at their outer peripheries. Megasonic energy is simultaneously directed at the substrates (and preferably the bonding composition itself), so as to increase solvent penetration into the composition, thus decreasing the time needed for substrate separation and increasing throughput.
    Type: Application
    Filed: September 6, 2012
    Publication date: March 14, 2013
    Applicant: BREWER SCIENCE INC.
    Inventors: Jeremy McCutcheon, James E. Strothmann
  • Patent number: 8383318
    Abstract: Acid-sensitive, developer-soluble bottom anti-reflective coating compositions are provided, along with methods of using such compositions and microelectronic structures formed thereof. The compositions preferably comprise a crosslinkable polymer dissolved or dispersed in a solvent system. The polymer preferably comprises recurring monomeric units having adamantyl groups. The compositions also preferably comprise a crosslinker, such as a vinyl ether crosslinking agent, dispersed or dissolved in the solvent system with the polymer. In some embodiments, the composition can also comprise a photoacid generator (PAG) and/or a quencher. The bottom anti-reflective coating compositions are thermally crosslinkable, but can be decrosslinked in the presence of an acid to be rendered developer soluble.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: February 26, 2013
    Assignee: Brewer Science Inc.
    Inventors: Jim D. Meador, Joyce A. Lowes, Ramil-Marcelo L. Mercado
  • Publication number: 20130032296
    Abstract: A cleaning composition for removing temporary wafer bonding material is provided. The cleaning composition comprises an alkylarylsulfonic acid and an aliphatic alcohol dispersed or dissolved in a hydrocarbon solvent system. Methods of separating bonded substrates and cleaning debonded substrates using the cleaning composition are also provided. The invention is particularly useful for temporary bonding materials and adhesives. The methods generally comprise contacting the bonding material with the cleaning solution for time periods sufficient to dissolve the desired amount of bonding material for separation and/or cleaning of the substrates.
    Type: Application
    Filed: August 2, 2011
    Publication date: February 7, 2013
    Applicant: BREWER SCIENCE INC.
    Inventor: Xing-Fu Zhong
  • Publication number: 20130011630
    Abstract: Metal-oxide films for lithographic applications are provided. The films are formed from compositions comprising metal-oxide precursor compounds including metals and metalloids other than silicon. These films are easily produced and can be modified with a variety of ligands, including alkoxides, phenoxides, carboxylates, beta-diketones, and beta-ketoesters.
    Type: Application
    Filed: July 7, 2011
    Publication date: January 10, 2013
    Applicant: BREWER SCIENCE INC.
    Inventors: Daniel M. Sullivan, Charles J. Neef, Yubao Wang, Tantiboro Ouattara
  • Publication number: 20120326093
    Abstract: New methods for preparing carbon nanotube films having enhanced properties are provided. The method broadly provides reacting carbon nanotubes (CNTs) and compounds comprising a polyaromatic moieties in the presence a strong acid. During the reaction process, the polyaromatic moieties noncovalently bond with the carbon nanotubes. Additionally, the functionalizing moieties are further functionalized by the strong acid. This dual functionalization allows the CNTs to be dispersed at concentrations greater than 0.5 g/L in solution without damaging their desirable electronic and physical properties. The resulting solutions are stable on the shelf for months without observable bundling, and can be incorporated into solutions for printing conductive traces by a variety of means, including inkjet, screen, flexographic, gravure printing, or spin and spray coating.
    Type: Application
    Filed: June 22, 2012
    Publication date: December 27, 2012
    Applicant: BREWER SCIENCE INC.
    Inventor: Christopher Landorf
  • Publication number: 20120308835
    Abstract: The present invention provides new polyimide materials suitable for use in optically transparent fiber composites, ribbon composites, and optical communications applications. The polyimide compounds include monomeric repeat units comprising a fluorinated moiety and a fluorene cardo structure. The polyimides exhibit good optical transparency and have a low absolute thermo-optic coefficient (|dn/dT|).
    Type: Application
    Filed: June 1, 2011
    Publication date: December 6, 2012
    Applicant: BREWER SCIENCE INC.
    Inventors: Wenbin Hong, Tantiboro Ouattara, Heping Wang, Kang Le Wang
  • Publication number: 20120264056
    Abstract: Radiation-sensitive sol-gel compositions are provided, along with methods of forming microelectronic structures and the structures thus formed. The compositions comprise a sol-gel compound and a base generator dispersed or dissolved in a solvent system. The sol-gel compound comprises recurring monomeric units comprising silicon with crosslinkable moieties bonded to the silicon. Upon exposure to radiation, the base generator generates a strong base, which crosslinks the sol-gel compound in the compositions to yield a crosslinked layer that is insoluble in developers or solvents. The unexposed portions of the layer can be removed to yield a patterned sol-gel layer. The invention can be used to form patterns from sol-gel materials comprising features having feature sizes of less than about 1 ?m.
    Type: Application
    Filed: April 10, 2012
    Publication date: October 18, 2012
    Applicant: BREWER SCIENCE INC.
    Inventors: Qin Lin, Yubao Wang, Tony D. Flaim