Patents Assigned to Design Systems, Inc.
  • Patent number: 11593437
    Abstract: The present disclosure relates to a system and method for electronic design. Embodiments may include receiving, using at least one processor, a plurality of distinct electronic designs at an electronic design database and storing the plurality of distinct electronic designs at the electronic design database. Embodiments may further include receiving a request to reuse one of the plurality of distinct electronic designs from a client electronic device associated with a user, wherein the request includes design connectivity information, block connectivity information, and page connectivity information. Embodiments may also include analyzing the design connectivity information, block connectivity information, and page connectivity information to identify one or more closest matches with the plurality of distinct electronic designs and providing the one or more closest matches to the client electronic device to allow for subsequent displaying at a graphical user interface.
    Type: Grant
    Filed: November 22, 2019
    Date of Patent: February 28, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Daniel Konrad Fernsebner, Vikas Kakkar, Vikas Kohli, Mark Joseph Hepburn
  • Patent number: 11592482
    Abstract: Scan channel slicing methods and systems for testing of scan chains in an integrated circuit (IC) reduce the number of test cycles needed to effectively test all the scan chains in the IC, reducing the time and cost of testing. In scan channel slicing, rather than loading and unloading into scan chains high-power patterns having numerous switching transitions over the length of each scan chain, loading and unloading the entirety of the scan chain scan while observing it, chain load data is sliced, apportioning between the different scan chains independently observable sections (slices) of transition data in which all four bit-to-bit transitions (“0” to “0”, “0” to “1”, “1” to 0”, “1” to “1”) are ensured to exist. The remainder of the scan chain load data, which is not observed in the test procedure, can be low-transition data that consumes low dynamic power, such as mostly zeroes or mostly ones.
    Type: Grant
    Filed: March 17, 2021
    Date of Patent: February 28, 2023
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Sameer Chakravarthy Chillarige, Anil Malik, Bharath Nandakumar
  • Patent number: 11579194
    Abstract: An integrated circuit (IC) test engine can generate a plurality of single cycle test patterns that target a plurality of static single cycle defects of a fabricated IC chip based on an IC design. The IC test engine can also fault simulate the plurality of single cycle test patterns against a plurality of multicycle defects in the IC design, wherein a given single cycle test pattern of the plurality of single cycle test patterns is sim-shifted to enable detection of a given multicycle fault and/or defect of the plurality of multicycle faults and/or defects.
    Type: Grant
    Filed: June 9, 2021
    Date of Patent: February 14, 2023
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Arvind Chokhani, Joseph Michael Swenton, Martin Thomas Amodeo
  • Patent number: 11580284
    Abstract: The present disclosure relates to a method for electronic circuit design. Embodiments may include receiving, using a processor, an electronic circuit design and performing a deadlock check on the electronic circuit design using a using a linear temporal logic property and a proof engine. Embodiments may further include analyzing a counterexample associated with the electronic circuit design for a loop escape condition, wherein analyzing includes proving a cover trace of a liveness obligation. If the loop escape condition is reachable from the counterexample, embodiments may include extracting one or more events associated with the loop escape condition and adding a waiver constraint to the deadlock check to force a no deadlock outcome.
    Type: Grant
    Filed: January 6, 2021
    Date of Patent: February 14, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Craig Franklin Deaton, Christopher William Komar, Lars Lundgren
  • Patent number: 11573883
    Abstract: A trace subsystem of an emulation system may generate differential frame data based upon successive frames. If one compression mode, the trace subsystem may set a flag bit and store differential frame data if there is at least one non-zero bit in the differential frame data. If the differential frame data includes only zero bits, the trace subsystem may set the flag bit without storing the frame data. In another compression mode, the computer may further compress the differential data if the frame data includes one (one-hot) or two (two-hot) non-zero bits. The controller may set flag bits to indicate one of all-zeroes, one-hot, two-hot, and random data conditions (more than two non-zero bits). For one-hot or two-hot conditions, the controller may store bits indicating the positions of the non-zero bits. For random data conditions, the controller may store the entire differential frame.
    Type: Grant
    Filed: December 13, 2018
    Date of Patent: February 7, 2023
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Mitchell G. Poplack, Aruna Aluri, Linwei Ding
  • Patent number: 11568923
    Abstract: A device, a memory interface device, and a method of implementing an active inductor circuit are disclosed. In one aspect, the device includes one or more active inductor circuits, each including a first metal-oxide-semiconductor (MOS) transistor and a second MOS transistor. The first MOS transistor has a first terminal connected to a first voltage level, a second terminal connected to a resistor, and a gate terminal. The second MOS transistor has a first terminal connected to the first voltage level, a second terminal connected to a first current source and the gate terminal of the first MOS transistor, and a gate terminal connected to the resistor and to a capacitor connected to a second voltage level. One of the first MOS transistor and the second MOS transistor is a p-channel MOS (PMOS) transistor, and another of the first MOS transistor and the second MOS transistor is an n-channel MOS (NMOS) transistor.
    Type: Grant
    Filed: June 21, 2021
    Date of Patent: January 31, 2023
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Hajee Mohammed Shuaeb Fazeel, Vinod Kumar
  • Patent number: 11562110
    Abstract: A system, method, and computer program product for predicting mismatch contribution in an electronic environment. Embodiments may include modeling, using a processor, a discrete output mismatch contribution problem using sparse logistic regression to generate a mismatch contribution model and applying a cross-validation approach to increase a complexity of the mismatch contribution model. Embodiments may further include computing one or more mismatch contribution values from the mismatch contribution model and defining at least one sizing constraint or determining a worst case result associated with a sampling process based upon, at least in part, the one or more mismatch contribution values.
    Type: Grant
    Filed: September 30, 2019
    Date of Patent: January 24, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Wangyang Zhang, Hongzhou Liu, Hua Luo, Elias Lee Fallon
  • Patent number: 11550980
    Abstract: The present disclosure relates to a method for use with an electronic design. Embodiments may include receiving one or more user defined processor configurations at a processor generator. Embodiments may also include generating a customized testbench based upon, at least in part, the user defined processor configurations and generating an RTL model while the customized testbench is generating.
    Type: Grant
    Filed: June 14, 2021
    Date of Patent: January 10, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Tahrina Hossain Ahmed, Mohammad Rashedul Islam, Lishen Yin, Xin Fang, Khondakar Ahmed Mujtaba
  • Patent number: 11544574
    Abstract: The present disclosure relates to a computer-implemented method for electronic design. Embodiments may include receiving, using at least one processor, an electronic design schematic and optionally an electronic design layout. Embodiments may further include analyzing the electronic design schematic to determine if one or more required features of a particular circuit structure are present. If the one or more required features are present, embodiments may include analyzing, using a machine learning model, the electronic design schematic to determine if one or more optional features of the particular circuit structure are present.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: January 3, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Wangyang Zhang, Elias Lee Fallon
  • Patent number: 11545968
    Abstract: Various embodiments provide for active suppression circuitry. The active suppression circuitry can be used with a circuit for a memory system, such as a dual data rate (DDR) memory system. For example, some embodiments provide an active suppression integrated circuit. The active suppression integrated circuit can be used by a memory system to efficiently suppress power supply noise caused by resonance of a power delivery network (PDN) of the memory system, thereby improving power integrity of the memory system input/output.
    Type: Grant
    Filed: May 18, 2020
    Date of Patent: January 3, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Moo Sung Chae, Thomas Evan Wilson
  • Patent number: 11537505
    Abstract: The present disclosure is directed to a mechanism for forcing a processor to enter a debug mode. In one embodiment, a processor includes a logic circuit configured to receive a halt request. In response to receiving the halt request while the processor is not in a quiescent state, the logic circuit forces the processor into the quiescent state after a threshold amount of time has elapsed. Processor operation is then halted, and the processor thus becomes accessible for a debugger to perform debug operations.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: December 27, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Robert T. Golla, Matthew B. Smittle
  • Patent number: 11534787
    Abstract: A paint distribution system comprising a circulating pump configured to supply pressure to the system, in which the circulating pump has an input, configured to receive input data signals; a measuring device for measuring one or more parameters of a paint, in which the measuring device has an input, configured to receive input data signals, and has an output, configured to transmit output data signals, and in which the measuring device is positioned on a paint supply line in fluid communication with a paint color change assembly; and a controller having an input, configured to receive input data signals, and an output, configured to transmit output data signals. The output data signals from the controller are transmitted to the circulating pump to maintain or adjust circulating pump settings to control supply pressure to the system and maintain or adjust the parameters of the paint within a predetermined range.
    Type: Grant
    Filed: February 21, 2020
    Date of Patent: December 27, 2022
    Assignee: J & R DESIGN SYSTEMS, INC.
    Inventors: Frank Taube, Chris Schweizer, Richard D. Morgan
  • Patent number: 11531550
    Abstract: Techniques are disclosed relating to an apparatus that includes a plurality of execution pipelines including first and second execution pipelines, a shared circuit that is shared by the first and second execution pipelines, and a decode circuit. The first and second execution pipelines are configured to concurrently perform operations for respective instructions. The decode circuit is configured to assign a first program thread to the first execution pipeline and a second program thread to the second execution pipeline. In response to determining that respective instructions from the first and second program threads that utilize the shared circuit are concurrently available for dispatch, the decode circuit is further configured to select between the first program thread and the second program thread.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: December 20, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Robert T. Golla, Christopher Olson
  • Patent number: 11531803
    Abstract: A static timing analysis system for finding and reporting timing violations in a digital circuit design prior to circuit fabrication, and associated methods, use exhaustive path-based analysis (EPBA) that is informed by infinite-depth path-based analysis (IPBA) to provide analysis results that are driven full-depth, in contrast to conventional EPBA systems and methods, which can terminate after reaching a maximum depth of analysis as a way of avoiding prolonged or infinite runtimes. The IPBA-driven full-depth EPBA functions for hold-mode as well as setup-mode analysis and achieves reduced pessimism as compared to systems or methods employing IPBA alone, and more complete analysis of designs as compared to systems or methods employing EPBA alone. Improved IPBA signal merging using multidimensional zones for thresholding of signal clustering mitigates the occasional optimism of IPBA.
    Type: Grant
    Filed: April 16, 2021
    Date of Patent: December 20, 2022
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Umesh Gupta, Naresh Kumar, Marut Agarwal, Rakesh Agarwal
  • Patent number: 11526650
    Abstract: A system includes one or more processors and a computer storage medium storing instructions that cause a machine to perform operations including accessing an integrated circuit (IC) design including an initial clock tree. The operations include selecting a first driver to evaluate for resizing, the first driver being a first size and having a first leakage current and determining a baseline power consumption measurement of clock tree based on the first size and the first leakage current of the first driver. The operations include identifying a plurality of replacement drivers to replace the first driver and determining a power consumption measurement for a second driver. Based on determining that the power consumption measurement for the second driver is less than the baseline power consumption measurement replacing the first driver with the second driver and generating a layout instance based on the second driver.
    Type: Grant
    Filed: March 31, 2021
    Date of Patent: December 13, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yi-Xiao Ding, Zhuo Li, Jhih-Rong Gao
  • Patent number: 11520964
    Abstract: A method for assertion-based formal verification includes executing a plurality of formal verification regression runs on a model of an electronic design; for each of the regression runs, using a unique signature function, calculating and saving a unique signature value for each instantiation of a property of a plurality of properties of the model of the electronic design and a status result for that instantiation of the property in that regression run; and signing off a current version of the model of the electronic device and presenting as a status result for each the instantiations of a plurality of the properties of the current version of the model of the electronic design the preferred status result obtained for that instantiation of the property per the same unique signature value that was calculated for that instantiation of the property in previous runs of the plurality of formal verification regression runs.
    Type: Grant
    Filed: June 2, 2021
    Date of Patent: December 6, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ahmad S. Abo Foul, Lars Lundgren, Björn Håkan Hjort, Habeeb Farah, Eran Talmor, Paula S. Mathias
  • Patent number: 11520959
    Abstract: An integrated circuit (IC) design is accessed from a database in memory. The IC design comprises a route connecting a source to a sink. A set of buffering candidates for buffering are generated for the net. A timing improvement associated with a buffering candidate in the set of buffering candidates is determined using a first timing model. The buffering candidate is pruned from the set of buffering candidates based on the timing improvement and a cost associated with the buffering candidate. The pruned set of buffering candidates is evaluated using a second timing model, and a buffering solution for the net is selected from the pruned set of buffering candidates based on a result of the evaluating. The IC design is updated to include the buffering solution selected for the net.
    Type: Grant
    Filed: March 31, 2021
    Date of Patent: December 6, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yi-Xiao Ding, Zhuo Li, Jhih-Rong Gao, Sheng-En David Lin
  • Patent number: 11520531
    Abstract: A system may include a synchronization device and an emulation chip including a processor and a memory. The processor may evaluate, during a first cycle, at least one of a set of one or more execution instructions in the memory or evaluation primitives configured to emulate a circuit, and evaluate, during a second cycle, at least one of the set of one or more execution instructions or a set of configured logic primitives. The synchronization device may interpose a gap period interposed between the first cycle and the second cycle such that during the gap period, the processor does not evaluate one or more instructions from the set of one or more execution instructions or re-evaluate primitives. The synchronization device may cause, during the first gap period, the emulation chip to perform refreshes on the memory of the emulation chip.
    Type: Grant
    Filed: December 31, 2020
    Date of Patent: December 6, 2022
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Mitchell G. Poplack, Justin Schmelzer, Aruna Aluri
  • Patent number: 11513818
    Abstract: An approach includes the use of a description of instructions for invoking hardware accelerator and for a hardware accelerator to execute those instructions. In some embodiments, the instructions for invoking hardware accelerator and for a hardware accelerator to execute those instructions are described using a single language. These descriptions are then compiled into other languages for use in tool chains for generating simulators (a hardware and instruction set simulator and a hardware accelerator simulator). In some embodiments, the approach illustrated herein can be combined with state machine functionality to manage the execution of instructions that require multiple states. In some embodiments, the approach illustrated herein can be combined with an external register file for transferring information between a processor and a hardware accelerator.
    Type: Grant
    Filed: September 30, 2020
    Date of Patent: November 29, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Rong Chen, He Xiao, Nenad Nedeljkovic, Nupur B. Andrews, Dan Nicolaescu, James Sangkyu Kim
  • Patent number: 11514219
    Abstract: The present disclosure relates to a system and method for assertion-based formal verification in an electronic design environment. Embodiments may include executing, using a processor, an assertion-based formal verification proof process on a model of an electronic design and analyzing a first property associated with the model. Embodiments may further include generating at least one trace of the first property and determining a mapping function associated with the first property. Embodiments may also include storing the at least one trace and the mapping function. Embodiments may further include determining that a second property associated with the model shares a cone of influence with the first property and generating a new trace based upon, at least in part, the mapping function.
    Type: Grant
    Filed: March 25, 2021
    Date of Patent: November 29, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ahmad S. Abo Foul, Lars Lundgren, Björn Håkan Hjort, Habeeb Farah