Patents Examined by Charlee J C Bennett
  • Patent number: 11961756
    Abstract: A susceptor can include a generally circular shape and may include an inner and outer susceptor. The outer susceptor can include a support region having one or more support mechanisms as well as a channel region extending from the region boundary to an outer radial boundary radially inward of an outer edge of the susceptor, the channel region can include a plurality of channels extending radially from the region boundary to the outer radial boundary. The inner susceptor can include a second plurality of channels extending from the inner radial boundary to an edge of the inner susceptor.
    Type: Grant
    Filed: January 15, 2020
    Date of Patent: April 16, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Uday Kiran Rokkam, Sam Kim, Saket Rathi, Dakai Bian
  • Patent number: 11955362
    Abstract: Embodiments of substrate supports and process chambers equipped with the same are provided. In some embodiments, a substrate support includes: a support body having a first surface; one or more receptacles extending through the first surface and into the support body; and one or more protrusions respectively disposed within corresponding ones of the one or more receptacles and projecting from the first surface, wherein the one or more protrusions at least partially define a substantially planar support surface above the first surface. Methods of eliminating backside wafer damage are also disclosed.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: April 9, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joel M Huston, Cheng-Hsiung Tsai, Gwo-Chuan Tzu
  • Patent number: 11955359
    Abstract: The present disclosure provides a magazine supporting equipment for supporting a magazine with multiple input ports. The magazine supporting equipment comprises a contact plate, a first sidewall plate, and a second sidewall plate. The contact plate is in contact with the magazine. The first sidewall plate extends vertically from one end of the contact plate. The second sidewall plate parallel is to the first sidewall plate and extends vertically from one end to the other end of the contact plate. The first sidewall plate extends along at least a part of a first sidewall of the magazine. The second sidewall plate extends along at least a part of a second sidewall of the magazine. The first sidewall plate and the second sidewall plate include control openings through which gas flows in and out.
    Type: Grant
    Filed: March 15, 2021
    Date of Patent: April 9, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jun Young Oh, Seung Hwan Kim, Jong Ho Park, Yong Kwan Lee, Jong Ho Lee
  • Patent number: 11948826
    Abstract: An electrostatic chuck is described that has radio frequency coupling suitable for use in high power plasma environments. In some examples, the chuck includes a base plate, a top plate, a first electrode in the top plate proximate the top surface of the top plate to electrostatically grip a workpiece, and a second electrode in the top plate spaced apart from the first electrode, the first and second electrodes being coupled to a power supply to electrostatically charge the first electrode.
    Type: Grant
    Filed: November 11, 2022
    Date of Patent: April 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Jaeyong Cho, Vijay D. Parkhe, Haitao Wang, Kartik Ramaswamy, Chunlei Zhang
  • Patent number: 11935762
    Abstract: There is provided a technique that includes: a first processing module including a first process container in which at least one substrate is processed, a first utility system including a first supply system which supplies a first processing gas into the first process container and a surface of the first utility system is connected or arranged close to the first processing module; and a first vacuum pump arranged at the same level as a first exhaust port of the first process container. The first vacuum pump exhausts an inside of the first process container and includes a first intake port formed laterally at a position substantially facing the first exhaust port of the first process container. A first exhaust pipe configured to substantially linearly bring the first exhaust port into fluid communication with the first intake port and including a first valve installed in a flow path.
    Type: Grant
    Filed: August 16, 2022
    Date of Patent: March 19, 2024
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Daigi Kamimura, Tomoshi Taniyama, Kenji Shirako, Hironori Shimada, Akira Horii, Takayuki Nakada, Norihiro Yamashima
  • Patent number: 11915953
    Abstract: Aspects of the present disclosure relate to apparatus, systems, and methods of measuring edge ring distance for thermal processing chambers. In one example, the distance measured is used to determine a center position shift of the edge ring.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: February 27, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Ole Luckner, Wolfgang R. Aderhold
  • Patent number: 11915905
    Abstract: A support unit provided in an apparatus for treating a substrate using plasma includes a dielectric plate on which the substrate is placed, an electrode plate disposed under the dielectric plate, a power supply rod that applies power to the electrode plate, and a flange that has a shape surrounding the power supply rod and that is spaced apart from the power supply rod.
    Type: Grant
    Filed: July 1, 2020
    Date of Patent: February 27, 2024
    Assignee: SEMES CO., LTD.
    Inventors: Hyoungkyu Son, Yu Dong Han, Hyeon Gyu Kim, Seon Ok Kim
  • Patent number: 11894255
    Abstract: Embodiments of a process kit are provided herein. In some embodiments, a process kit for use in a substrate processing chamber includes: a ceramic ring having an upper surface and a lower surface, wherein the ceramic ring includes a chucking electrode disposed in the ceramic ring and a heating element disposed in the ceramic ring; and an edge ring disposed on the ceramic ring.
    Type: Grant
    Filed: July 27, 2020
    Date of Patent: February 6, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jaeyong Cho, Kartik Ramaswamy, Daniel Sang Byun
  • Patent number: 11894219
    Abstract: The inventive concept relates to an apparatus and a method for processing a substrate. In an embodiment, the apparatus includes a process chamber having a processing space inside, a support unit that supports the substrate in the processing space, a gas supply unit that supplies a process gas into the processing space, and a plasma source that generates plasma from the process gas. The support unit includes a support on which the substrate is placed, an edge ring around the substrate placed on the support, an impedance adjustment member provided below the edge ring, and a temperature adjustment member that variably adjusts temperature of the impedance adjustment member.
    Type: Grant
    Filed: July 22, 2022
    Date of Patent: February 6, 2024
    Assignee: SEMES CO., LTD.
    Inventor: Je Ho Kim
  • Patent number: 11894254
    Abstract: A substrate support includes an electrostatic chuck having an upper surface, and a cover positioned on the electrostatic chuck to cover the upper surface thereof. The cover includes a first face adjacent the upper surface of the electrostatic chuck, a second face for supporting a substrate, and one or more conduits extending through the cover to permit a cooling gas to flow from the second face to the first face. The cover is made from a dielectric material.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: February 6, 2024
    Assignee: SPTS TECHNOLOGIES LIMITED
    Inventor: Nicolas Launay
  • Patent number: 11885023
    Abstract: A substrate retaining apparatus, a load lock assembly comprising the substrate retaining apparatus, and a system including the substrate retaining apparatus are disclosed. The substrate retaining apparatus can include at least one sidewall and one or more heat shields. One or more of the at least one sidewall can include a cooling fluid conduit to facilitate cooling of substrates retained by the substrate retaining apparatus. Additionally or alternatively, one or more of the at least one sidewall can include a gas conduit to provide gas to a surface of a retained substrate.
    Type: Grant
    Filed: September 26, 2019
    Date of Patent: January 30, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Shiva K. T. Rajavelu Muralidhar, Sam Kim, Jeffrey Barrett Robinson, James King Wilson, Jr., Ninad Vijay Sonje
  • Patent number: 11873553
    Abstract: A component comprises a film containing yttrium oxide. A cross section of the film has a first portion, a second portion, and a third portion, and the first to third portions are separated from each other by 0.5 mm or more. A Vickers hardness B1 measured in the first portion, a Vickers hardness B2 measured in the second portion, a Vickers hardness B3 measured in the third portion, and an average value A of the Vickers hardnesses B1 to B3 are numbers satisfying 0.8A?B1?1.2A, 0.8A?B2?1.2A, and 0.8A?B3?1.2A.
    Type: Grant
    Filed: February 28, 2020
    Date of Patent: January 16, 2024
    Assignees: SHIBAURA INSTITUTE OF TECHNOLOGY, TOSHIBA MATERIALS CO., LTD.
    Inventors: Atsushi Yumoto, Tomohiro Sugano, Takashi Hino, Tetsuo Inoue, Shuichi Saito
  • Patent number: 11862437
    Abstract: According to one embodiment, an edge ring is provided which includes a first movable portion provided along an outer circumference of a support portion having an upper surface capable of holding a semiconductor substrate thereon, the first movable portion being movable in a direction perpendicular to the upper surface; a second movable portion provided along an outer circumference of the first movable portion, the second movable portion being movable in the direction; and a driving portion capable of moving the first movable portion in the direction by way of the second movable portion.
    Type: Grant
    Filed: September 10, 2020
    Date of Patent: January 2, 2024
    Assignee: Kioxia Corporation
    Inventor: Yasuhisa Ishima
  • Patent number: 11862438
    Abstract: In a plasma processing apparatus, a mounting table includes a heater for adjusting a temperature of a mounting surface mounting thereon a consumable part consumed by plasma processing. A heater control unit controls a supply power to the heater such that the heater reaches a setting temperature. A measurement unit measures, while controlling the supply power to the heater such that the temperature of the heater becomes constant, the supply powers in a non-ignition state where plasma is not ignited and in a transient state where the supply power is decreased after the plasma is ignited. A parameter calculation unit calculates a thickness of the consumable part by performing fitting with a calculation model, which has the thickness of the consumable part as a parameter and calculates the supply power in the transient state, by using the measured supply powers in the non-ignition state and in the transient state.
    Type: Grant
    Filed: October 3, 2022
    Date of Patent: January 2, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinsuke Oka
  • Patent number: 11841343
    Abstract: There is provided a technique that includes abnormality detecting by picking up a sound generated from a transfer configured to be capable of transporting the substrate and comparing a waveform of sound data with a preset threshold value to detect an abnormality of the transfer; and failure detecting by picking up vibration of the transfer and comparing a waveform of vibration data with a preset threshold value to detect a failure of the transfer.
    Type: Grant
    Filed: February 28, 2022
    Date of Patent: December 12, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Teruo Yoshino, Naofumi Ohashi, Toshiro Koshimaki
  • Patent number: 11823872
    Abstract: According to one embodiment, an electrostatic chuck apparatus includes a substrate support plate formed of a dielectric material. The substrate support plate includes: a plurality of support bases protruding from an upper surface of the substrate support plate, a plurality of ground electrodes formed inside the substrate support plate, each of the ground electrodes at a corresponding position to a respective one the support bases, and an electrostatic chuck electrode provided below the ground electrodes.
    Type: Grant
    Filed: September 1, 2021
    Date of Patent: November 21, 2023
    Assignee: KIOXIA CORPORATION
    Inventor: Yuya Matsubara
  • Patent number: 11817341
    Abstract: A semiconductor substrate processing apparatus includes a vacuum chamber having a processing zone in which a semiconductor substrate may be processed, a process gas source in fluid communication with the vacuum chamber for supplying a process gas into the vacuum chamber, a showerhead module through which process gas from the process gas source is supplied to the processing zone of the vacuum chamber, and a substrate pedestal module.
    Type: Grant
    Filed: February 23, 2022
    Date of Patent: November 14, 2023
    Assignee: Lam Research Corporation
    Inventor: Troy Alan Gomm
  • Patent number: 11804367
    Abstract: Provided is plasma processing equipment comprising a substrate support, a focus ring disposed along an edge of the upper surface of the substrate support and including a fluid hole passing through a main body, an insulating ring surrounding an outer sidewall of the substrate support and including an inner side surface facing the outer sidewall of the substrate support, an outer side surface, and an upper surface connecting the inner and outer side surfaces, and including upper and lower end portions having different heights, and a connection end portion connecting the upper and lower end portions, a liner surrounding the outer side surface of the insulating ring and a baffle disposed on an upper surface of the liner, wherein a fluid passing through the fluid hole flows along the upper surface, and the baffle generates a pressure difference of the fluid between the upper and lower end portions.
    Type: Grant
    Filed: April 5, 2021
    Date of Patent: October 31, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seok Hwan Bae, Dong Hoon Kim, Byeong Sang Kim, Hak Young Kim, Hee Won Min
  • Patent number: 11798791
    Abstract: A substrate support for a plasma processing apparatus includes a first support area configured to support a substrate placed thereon; and a second support area configured to support a focus ring placed thereon. The second support area includes a lower electrode, a chuck area, and a bonding area. The chuck area includes a first electrode and a second electrode, and is configured to hold the focus ring by a potential difference set between the first electrode and the second electrode. The first electrode and the second electrode extend in the circumferential direction, and the first electrode is provided inward in the radial direction with respect to the second electrode. The substrate support further includes a first conducting wire and a second conducting wire each extending around a center or on the center between an inner boundary and an outer boundary of the second support area.
    Type: Grant
    Filed: November 8, 2019
    Date of Patent: October 24, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Shingo Koiwa
  • Patent number: 11798789
    Abstract: A first edge ring for a substrate support is provided. The first edge ring includes an annular-shaped body and one or more lift pin receiving elements. The annular-shaped body is sized and shaped to surround an upper portion of the substrate support. The annular-shaped body defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface. The one or more lift pin receiving elements are disposed along the lower surface of the annular-shaped body and sized and shaped to receive and provide kinematic coupling with top ends respectively of three or more lift pins.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: October 24, 2023
    Assignee: Lam Research Corporation
    Inventors: Alejandro Sanchez, Grayson Ford, Darrell Ehrlich, Aravind Alwan, Kevin Leung, Anthony Contreras, Zhumin Han, Raphael Casaes, Joanna Wu