Patents Examined by Charlee J C Bennett
  • Patent number: 11450509
    Abstract: A method and apparatus for plasma processing of substrates is provided. A processing chamber has a substrate support and a lid assembly facing the substrate support. The lid assembly has a plasma source that comprises a coil disposed within a conductive plate, which may comprise nested conductive rings. The coil is substantially coplanar with the conductive plate, and insulated therefrom by an insulator that fits within a channel formed in the conductive plate, or nests within the conductive rings. A field concentrator is provided around the coil, and insulated therefrom by isolators. The plasma source is supported from a conductive support plate. A gas distributor supplies gas to the chamber through a central opening of the support plate and plasma source from a conduit disposed through the conductive plate.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: September 20, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Canfeng Lai, Jeffrey Tobin, Peter I. Porshnev, Jose Antonio Marin
  • Patent number: 11450536
    Abstract: There is provided a technique that includes: a first processing module including a first process container in which at least one substrate is processed and a substrate loading port installed at a front side of the first processing module; a first utility system including a first supply system configured to supply a first processing gas into the first process container, a surface of the first utility system is connected or arranged close to a rear surface of the first processing module; and a first vacuum-exhauster arranged behind the first processing module and configured to exhaust an inside of the first process container, wherein the first vacuum-exhauster includes an outer side surface configured such that the outer side surface does not protrude more outward than an outer side surface of the first utility system.
    Type: Grant
    Filed: March 25, 2021
    Date of Patent: September 20, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Daigi Kamimura, Tomoshi Taniyama, Kenji Shirako, Hironori Shimada, Akira Horii, Takayuki Nakada, Norihiro Yamashima
  • Patent number: 11441223
    Abstract: A susceptor for a CVD reactor includes a flat circular disc-shaped body with channels that are arranged on a broad side of the disc-shaped body within one or more circular surface sections extending on a plane in order to transfer heat to a substrate holder. The channels run about respective centers of the one or more circular surface sections in a spiral manner and are formed as depressions that are open towards the plane. An end of each of the channels has a channel opening, the channel openings being fluidically connected to a feed opening arranged at the end of a gas supply line. Additionally, the one or more surface sections are equipped with one or more influencing elements that influence the local heat transfer and are formed as open depressions on the plane or as insert pieces that plug into the depressions.
    Type: Grant
    Filed: March 6, 2018
    Date of Patent: September 13, 2022
    Assignee: AIXTRON SE
    Inventors: Oliver Schön, Francisco Ruda Y Witt, Marcus Schaffrath
  • Patent number: 11430680
    Abstract: Apparatus and methods of measuring and controlling the gap between a susceptor assembly and a gas distribution assembly are described. Apparatus and methods for positional control and temperature control for wafer transfer purposes are also described.
    Type: Grant
    Filed: April 18, 2019
    Date of Patent: August 30, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Abraham Ravid, Kevin Griffin, Joseph Yudovsky, Kaushal Gangakhedkar, Dmitry A. Dzilno, Alex Minkovich
  • Patent number: 11427929
    Abstract: A wafer supporting mechanism including: a wafer supporting table; and a movable part supported by the wafer supporting table, wherein the wafer supporting table includes a wafer supporting portion for transfer that stands up from a first surface opposing a back surface of a wafer to be placed and is provided further toward an inner side than an outer peripheral edge of the wafer to be placed, and the movable part includes a wafer supporting portion for film formation that is positioned further toward an outer peripheral side of the wafer to be placed than the wafer supporting portion for transfer and is relatively movable with respect to the wafer supporting table in a standing direction of the wafer supporting portion for transfer.
    Type: Grant
    Filed: December 12, 2016
    Date of Patent: August 30, 2022
    Assignee: SHOWA DENKO K.K.
    Inventors: Jia Yu, Naoto Ishibashi, Keisuke Fukada, Tomoya Utashiro, Hironori Atsumi
  • Patent number: 11424103
    Abstract: A substrate processing system for a substrate processing chamber includes a gas delivery system configured to direct process gases toward a substrate support in the substrate processing chamber and a controller. During processing of a substrate arranged on the substrate support the controller is configured to calculate, based on at least one of a position of an edge ring of the substrate support and characteristics of the process gases directed toward the substrate support, a distribution of etch by-product material redeposited onto the substrate during processing and, in response to the calculated distribution, generate control signals to cause an actuator to selectively adjust a position of the edge ring relative to the substrate and cause the gas delivery system to selectively adjust a flow of the process gases.
    Type: Grant
    Filed: August 13, 2019
    Date of Patent: August 23, 2022
    Assignee: Lam Research Corporation
    Inventors: Yiting Zhang, Saravanapriyan Sriraman, Alex Paterson
  • Patent number: 11398371
    Abstract: A plasma processing apparatus includes a sample stage on which a sample is placed an inside of the processing chamber; a dielectric membrane forming an upper surface portion of the sample stage; a plurality of film-shaped electrodes which is disposed in the dielectric membrane, to which a DC power from a DC power supply is supplied and in which an electrostatic force for attracting the sample is formed; and a bias electrode (ESC base metal) disposed below the dielectric membrane and supplied with radio frequency power for forming a radio frequency bias potential from a radio frequency power supply during the processing of the sample.
    Type: Grant
    Filed: February 26, 2019
    Date of Patent: July 26, 2022
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Naoyuki Kofuji, Kenetsu Yokogawa, Taku Iwase
  • Patent number: 11393710
    Abstract: Apparatuses including a height-adjustable edge ring, and methods for use thereof are described herein. In one example, a substrate support assembly includes a height-adjustable edge ring, and the substrate support assembly is located within a process chamber. The substrate support assembly includes an electrostatic chuck, an edge ring positioned on a portion of the electrostatic chuck, and one or more actuators to adjust the height of the edge ring via one or more push pins. The height-adjustable edge ring can be used to compensate for erosion of the edge ring over time. In addition, the height-adjustable edge ring can be removed from the process chamber via a slit valve opening without venting and opening the process chamber. The height-adjustable edge ring can be tilted by the one or more actuators in order to improve azimuthal uniformity at the edge of the substrate.
    Type: Grant
    Filed: January 6, 2017
    Date of Patent: July 19, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Yogananda Sarode Vishwanath, Sunil Srinivasan, Rajinder Dhindsa, Steven E. Babayan, Olivier Luere, Denis M. Koosau, Imad Yousif
  • Patent number: 11387079
    Abstract: A plasma etching chamber including within a vacuum recipient: an etching compartment with a central axis and a surrounding wall enclosing the etching compartment; a pumping compartment with a metal surrounding wall having a feed through opening; a metal partition wall traverse to the axis separating the etching compartment from the pumping compartment; a pumping slit in or along the partition wall; a workpiece support; a metal tubular arrangement through the opening, including a first part coupled to the workpiece support and a second part coupled to the metal surrounding wall, the second part being electrically conductively joint to the metal surrounding wall; an Rf feed line through the tubular arrangement connected to the workpiece support; a system ground connector at an end of the second part; distributed metal connectors establishing electric contact from the metal surrounding wall, across the pumping slit via the partition wall to the first part.
    Type: Grant
    Filed: April 6, 2017
    Date of Patent: July 12, 2022
    Assignee: EVATEC AG
    Inventors: Frantisek Balon, Mohamed Elghazzali, Ben Curtis
  • Patent number: 11332829
    Abstract: Introduced here is a plasma polymerization apparatus and process. Example embodiments include a vacuum chamber in a substantially symmetrical shape relative to a central axis. A primary rotation shaft may be operable to rotate about the central axis of the vacuum chamber and a secondary rotation shaft may be operable to rotate about a secondary axis distal to the central axis. The primary and secondary rotation shafts may be mechanically connected, and one or more devices may be secured on a platform that rotates along both shafts. Additionally, reactive species discharge mechanisms positioned around a perimeter of the vacuum chamber may be configured to disperse reactive species into the vacuum chamber. The reactive species may form a uniform polymeric multi-layer coating on the surface of the one or more devices.
    Type: Grant
    Filed: May 30, 2019
    Date of Patent: May 17, 2022
    Assignee: Jiangsu Favored Nanotechnology Co., LTD
    Inventor: Jian Zong
  • Patent number: 11315766
    Abstract: In a plasma processing apparatus, a mounting table have a first mounting surface on which a target object or a jig is mounted and a second mounting surface on which a ring member is mounted. The jig is used for measuring a thickness of the ring member disposed around the target object and having a facing portion facing an upper surface of the ring member. Elevating mechanisms lift or lower the ring member with respect to the second mounting surface. An acquisition unit acquires gap information indicating a gap dimension between the second mounting surface and the facing portion of the jig. A measurement unit measures a lifted distance of the ring member from the second mounting surface. A thickness calculation unit calculates the thickness of the ring member based on the gap dimension and the measured lifted distance of the ring member.
    Type: Grant
    Filed: October 2, 2019
    Date of Patent: April 26, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Atsushi Ogata
  • Patent number: 11302520
    Abstract: Implementations of the disclosure generally provide an improved pedestal heater for a processing chamber. The pedestal heater includes a temperature-controlled plate having a first surface and a second surface opposing the first surface. The temperature-controlled plate includes an inner zone comprising a first set of heating elements, an outer zone comprising a second set of heating elements, the outer zone surrounding the inner zone, and a continuous thermal choke disposed between the inner zone and the outer zone, and a substrate receiving plate having a first surface and a second surface opposing the first surface, the second surface of the substrate receiving plate is coupled to the first surface of the temperature-controlled plate. The continuous thermal choke enables a very small temperature gradient to be created and manipulated between the inner zone and the outer zone, allowing center-fast or edge-fast etching profile to achieve on a surface of the substrate.
    Type: Grant
    Filed: June 23, 2015
    Date of Patent: April 12, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Tien Fak Tan, Dmitry Lubomirsky, Kirby H. Floyd, Son T. Nguyen, David Palagashvili, Alexander Tam, Shaofeng Chen
  • Patent number: 11302565
    Abstract: A device for handling a semiconductor wafer in an epitaxy reactor has a susceptor; longitudinal holes extending through the susceptor; a wafer lifting shaft; wafer lifting pins guided through the longitudinal holes; a susceptor carrying shaft; susceptor carrying arms; susceptor support pins; guide sleeves anchored in the susceptor carrying arms; and guide elements protruding from the guide sleeves which, at upper ends, have bores into which wafer lifting pins are inserted, and which can be raised and lowered together with the wafer lifting pins by the wafer lifting shaft.
    Type: Grant
    Filed: July 3, 2017
    Date of Patent: April 12, 2022
    Assignee: SILTRONIC AG
    Inventors: Patrick Moos, Hannes Hecht
  • Patent number: 11289355
    Abstract: A semiconductor substrate processing apparatus includes a vacuum chamber having a processing zone in which a semiconductor substrate may be processed, a process gas source in fluid communication with the vacuum chamber for supplying a process gas into the vacuum chamber, a showerhead module through which process gas from the process gas source is supplied to the processing zone of the vacuum chamber, and a substrate pedestal module.
    Type: Grant
    Filed: June 2, 2017
    Date of Patent: March 29, 2022
    Assignee: Lam Research Corporation
    Inventor: Troy Alan Gomm
  • Patent number: 11280002
    Abstract: A placement apparatus is provided in the present disclosure. The apparatus includes a stage on which a substrate is placed; a support configured to support the stage from a side of a rear surface of the stage that is opposite to a placement surface on which the substrate is placed; a temperature adjustment member including a plate securing the stage from a lower surface of the stage, a shaft extending downwards from the plate, and a hole accommodating the support through the shaft from the plate, and being capable of a temperature adjustment; a heat-insulating member disposed between the stage and the temperature adjustment member; and an abutment member configured to abut the substrate placed on the stage.
    Type: Grant
    Filed: September 18, 2019
    Date of Patent: March 22, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kohichi Satoh, Toshiaki Fujisato, Daisuke Toriya
  • Patent number: 11251068
    Abstract: A substrate processing apparatus capable of improving thin film uniformity on a substrate by controlling the position of a substrate supporting apparatus includes a plurality of reactors, wherein each of the reactors includes a substrate supporting apparatus; a ring surrounding the substrate supporting apparatus; and an alignment device for moving the substrate supporting apparatus, wherein the ring is installed such that one surface of the ring comes in contact with the substrate supporting apparatus as the substrate supporting apparatus moves and the ring is movable by a pushing force of the substrate supporting apparatus.
    Type: Grant
    Filed: October 15, 2019
    Date of Patent: February 15, 2022
    Assignee: ASM IP Holding B.V.
    Inventors: JaeMin Roh, DaeYoun Kim, Julll Lee, ChangMin Lee
  • Patent number: 11251056
    Abstract: A substrate processing system includes: first and second process tubes spaced apart from each other in a first axial direction to provide process spaces independent from each other; a substrate boat on which a plurality of substrates are multiply stacked and which is provided to each of process spaces of the first and second process tubes; and first and second boat elevation units provided to the first and second process tubes, respectively, to elevate the substrate boat, wherein each of the first and second boat elevation units includes an elevation shaft member disposed in a space between the first and second process tubes.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: February 15, 2022
    Inventors: Sung Ho Kang, Chang Dol Kim, Sang Young Oh, Gyu Ho Choi
  • Patent number: 11239061
    Abstract: A semiconductor processing chamber may include a remote plasma region, and a processing region fluidly coupled with the remote plasma region. The processing region may be configured to house a substrate on a support pedestal. The support pedestal may include a first material at an interior region of the pedestal. The support pedestal may also include an annular member coupled with a distal portion of the pedestal or at an exterior region of the pedestal. The annular member may include a second material different from the first material.
    Type: Grant
    Filed: April 28, 2017
    Date of Patent: February 1, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Saravjeet Singh, Alan Tso, Jingchun Zhang, Zihui Li, Hanshen Zhang, Dmitry Lubomirsky
  • Patent number: 11230765
    Abstract: In various embodiments, a showerhead mechanism to adjust a showerhead in a semiconductor substrate-processing apparatus is disclosed. The mechanism includes at least one actuator assembly that is dynamically operable to adjust parallelism of a faceplate of the showerhead with reference to an upper surface of a substrate pedestal that is to be positioned adjacent to the faceplate. Each of the actuator assemblies includes a piezoelectric stack and a lever having a first end and a second end. The lever is mechanically coupled on the first end to the piezoelectric stack and on the second end to the showerhead to displace the showerhead in at least one direction of tilt. The lever is operable to amplify mechanically a displacement of the piezoelectric stack. A lever pivot point is coupled to the lever and is located between the first end and the second end of the lever.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: January 25, 2022
    Assignee: LAM RESEARCH CORPORATION
    Inventor: John Wiltse
  • Patent number: 11205581
    Abstract: A system and apparatus for thermal treatment of a substrate with improved thermal uniformity is provided. In some embodiments, the system includes a heating element, a substrate-retaining element operable to retain a substrate, and a reflective structure operable to direct thermal energy of the heating element towards the substrate retained in the substrate-retaining element. The reflective structure includes a textured portion wherein a texture of the textured portion is configured to direct the thermal energy towards the retained substrate. In some such embodiments, the texture includes a roughened irregular surface configured to direct the thermal energy towards the retained substrate. In some such embodiments, the texture includes a plurality of circumferential ridge structures configured to direct the thermal energy towards the retained substrate.
    Type: Grant
    Filed: July 25, 2018
    Date of Patent: December 21, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Shih-Wei Hung