Patents Examined by Kathleen Duda
  • Patent number: 8450052
    Abstract: A method of lithography patterning includes forming a first resist pattern on a substrate, the first resist pattern including a plurality of openings therein on the substrate; forming a second resist pattern on the substrate and within the plurality of openings of the first resist pattern, the second resist pattern including at least one opening therein on the substrate; and removing the first resist pattern to uncover the substrate underlying the first resist pattern.
    Type: Grant
    Filed: October 17, 2011
    Date of Patent: May 28, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Feng-Cheng Hsu, Jian-Hong Chen
  • Patent number: 8450049
    Abstract: A process for forming an anti-oxidant metal layer on an electronic device comprises the steps of providing a substrate; forming a conductive metal layer on the substrate; forming a first photoresist layer on the conductive metal layer; patterning the first photoresist layer to form apertures and first grooves; forming a connecting member having a top surface and a lateral surface in the aperture and the first groove; removing the first photoresist layer to reveal the top surface and the lateral surface; forming a second photoresist layer on the conductive metal layer; patterning the second photoresist layer to form apertures and second grooves; forming an anti-oxidant metal layer in aperture and second groove, the anti-oxidant metal layer covers the top surface and the lateral surface of the connecting member; and removing the second photoresist layer to reveal the anti-oxidant metal layer and the conductive metal layer.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: May 28, 2013
    Assignee: Chipbond Technology Corporation
    Inventors: Chih-Ming Kuo, Yie-Chuan Chiu, Cheng-Hung Shih, Lung-Hua Ho
  • Patent number: 8445184
    Abstract: A first resist film is irradiated with first exposure light and performing first development, thereby forming a first pattern in a first region including an interconnect trench pattern and forming a dummy pattern in a second region connected to the first region and having a pattern density lower than that of the interconnect trench pattern. Then, the first resist film is hardened, and a second resist film is formed on the hardened first resist film. After that, the second resist film is irradiated with second exposure light and performing second development, thereby forming a second pattern in the first region. When forming the second pattern, an opening made of the first pattern and the second pattern and including the interconnect trench pattern is formed in the first region, whereas in the second region, an opening in the first dummy pattern is filled with the second resist film.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: May 21, 2013
    Assignee: Panasonic Corporation
    Inventor: Takashi Matsuda
  • Patent number: 8445183
    Abstract: A method of manufacturing a semiconductor device, includes: a first resist film formation process of forming a first resist film on a processing target surface using a positive-type photoresist material; a first resist pattern formation process of forming a first resist pattern by performing development after exposure in which light is irradiated onto the first resist film; a second resist film formation process of forming a second resist film on the processing target surface, where the first resist pattern is formed, using a photoresist material; and a second resist pattern formation process of forming a second resist pattern by performing exposure in which light is irradiated onto the second resist film and then performing development. The method further includes an insolubilization process for insolubilizing the first resist pattern against a developer and a solvent of a photoresist material used in the second resist pattern formation process.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: May 21, 2013
    Assignee: Sony Corporation
    Inventor: Hiroyuki Miyamoto
  • Patent number: 8435728
    Abstract: A method and system for patterning a substrate using a radiation-sensitive material is described. The method and system include forming a layer of radiation-sensitive material on a substrate, exposing the layer of radiation-sensitive material to a pattern of radiation, and then performing a post-exposure bake following the exposing. The imaged layer of radiation-sensitive material is then developed to remove either a region having high radiation exposure or a region having low radiation exposure to form radiation-sensitive material lines. An exposure gradient within the radiation-sensitive material lines is then removed, followed by slimming the radiation-sensitive material lines.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: May 7, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Michael A. Carcasi, Benjamin M. Rathsack, Mark H. Somervell
  • Patent number: 8435723
    Abstract: A pattern forming method includes coating, on a wafer, a negative resist and a positive resist which has a higher sensitivity; exposing the positive resist and the negative resist on the wafer with an image of a line-and-space pattern; and developing the positive resist and the negative resist in a direction parallel to a normal line of a surface of the wafer. A fine pattern, which exceeds the resolution limit of an exposure apparatus, can be formed by using the lithography process without performing the overlay exposure.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: May 7, 2013
    Assignee: Nikon Corporation
    Inventors: Yuichi Shibazaki, Shigeru Hirukawa
  • Patent number: 8431328
    Abstract: An exposure method and exposure apparatus optimal for the formation of a fine pattern of an electronic device, such as a flat panel display. The exposure method and apparatus provides a high resolution and is inexpensive. The exposure method exposes a pattern onto a substrate with the use of an optical system that performs interference exposure for exposing a pattern parallel to a predetermined scanning direction with an interference optical system and variable shaping exposure with a variable shaping optical system while performing relative scanning in the scanning direction.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: April 30, 2013
    Assignee: Nikon Corporation
    Inventor: Naomasa Shiraishi
  • Patent number: 8426116
    Abstract: An exposure mask for recess gate includes a transparent substrate and a recess gate pattern. The recess gate pattern is disposed over the transparent substrate. The recess gate pattern includes a first portion having a first line width and a second portion having a second line width smaller than the first line width. In the second portion, elements of the recess gate pattern are separated.
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: April 23, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Yong Soon Jung
  • Patent number: 8426121
    Abstract: In accordance with the invention, there are methods for self-aligned spatial frequency doubling in one dimension and also in two dimension. The method for self-aligned spatial frequency doubling in one dimension can include forming a film stack over a substrate, wherein the film stack comprises a photoresist layer and forming a one-dimensional periodic first pattern having a first pitch p on the photoresist layer using an optical exposure, wherein the first pitch p is at least smaller than twice the bandpass limit for optical exposures. The method can also include forming a second pattern using the first pattern by nonlinear processing steps, wherein the second pattern has a second pitch p2=p/2.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: April 23, 2013
    Assignee: STC.UNM
    Inventors: Steven R. J. Brueck, Andrew Frauenglass, Alexander K. Raub, Dong Li
  • Patent number: 8426119
    Abstract: A system for fabricating a radiation-cured component is provided. The system includes a radiation-sensitive material configured to at least one of initiate, polymerize, crosslink and dissociate with exposure to radiation, and at least one radiation source configured to project a radiation beam with a vector that does not intersect the radiation-sensitive material. The system further includes a radiation directing device that is selectively positionable to reflect the radiation beam in a desired direction and exposure the radiation-sensitive material to the radiation beam. A method for fabricating the radiation-cured components is also provided.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: April 23, 2013
    Assignee: GM Global Technology Operations LLC
    Inventors: Yeh-Hung Lai, Gerald W. Fly, Jeffrey A. Rock
  • Patent number: 8426105
    Abstract: A patterning process includes (1) coating a first positive resist composition onto a substrate, baking, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) coating a resist-modifying composition onto the first resist pattern and heating to effect modifying treatment, and (3) coating a second positive resist composition, baking, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The resist-modifying composition comprises a carbamate compound and a solvent.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: April 23, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Masashi Iio, Kazuhiro Katayama, Jun Hatakeyama, Tsunehiro Nishi, Takeshi Kinsho
  • Patent number: 8426117
    Abstract: In a mask pattern forming method, a resist film is formed over a thin film, the resist film is processed into resist patterns having a predetermined pitch by photolithography, slimming of the resist patterns is performed, and an oxide film is formed on the thin film and the resist patterns after an end of the slimming step in a film deposition apparatus by supplying a source gas and an oxygen radical or an oxygen-containing gas. In the mask pattern forming method, the slimming and the oxide film forming are continuously performed in the film deposition apparatus.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: April 23, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Shigeru Nakajima, Jun Ogawa, Hiroki Murakami
  • Patent number: 8420301
    Abstract: A method for forming a wiring pattern by laser irradiation includes the steps of coating a light-sensitive material on a substrate to form a light-sensitive layer, irradiating a laser beam on the light-sensitive material of the substrate to form a pattern including an exposed region exposed to laser irradiation and an unexposed region unexposed to laser irradiation, and forming a metallic wiring pattern by immersing the substrate into a solution having a plurality of metallic nano-particles. The metallic nano-particles are easily bonded to the straighter molecular structure of the light-sensitive material in the exposed region for forming a conducting wiring pattern. The laser irradiation method has advantages such as high-power, high-density, high-directionality and monochromaticity, such that product quality can be effectively controlled. Moreover, the laser irradiated light-sensitive material can form a molecular structure that is easily bonded to the metallic particles.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: April 16, 2013
    Assignee: Cretec Co., Ltd.
    Inventors: Chien-Han Ho, Hua-Min Huang
  • Patent number: 8420303
    Abstract: A PEB unit has a first heat plate and a second heat plate. After an exposure process for a resist film for EUV on a wafer and before a development process, the PEB unit heats the wafer through the first heat plate at a first heating temperature. A heating time through the first heat plate is not less than 10 seconds and not more than 30 seconds. Thereafter, the PEB unit heats the wafer through the second heat plate at a second heating temperature lower than the first heating temperature. A temperature difference between the first heating temperature and the second heating temperature is not less than 20° C. and not more than 60° C.
    Type: Grant
    Filed: December 1, 2010
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiaki Yamada, Keiichi Tanaka, Hitoshi Kosugi
  • Patent number: 8415088
    Abstract: A method for forming a material layer with an anti-reflective layer as the top surface. The method comprises steps of providing a material layer and performing an ion implantation process to change a plurality of physical properties of a portion of the material layer near a top surface of the material layer so as to covert the portion of the material layer into an anti-reflective layer.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: April 9, 2013
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Yu-Lin Yen
  • Patent number: 8404433
    Abstract: In order to suppress variation of a resist pattern size caused by a temperature unevenness at a prebaking process, applying a resist of a positive type or a negative type on a base substrate, prebaking, exposing, post-exposure baking, and forming the resist to be a predetermined shape by developing the resist are carried out. The prebaking is carried out at a temperature equal to or more than a detachment starting temperature of a protective group of a base resin included in the resist in a case where the resist is the positive type. In a case where the resist is the negative type, the prebaking is carried out at a temperature equal to or more than a cross-linking starting temperature of a cross-linker in a base resin included in the resist.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: March 26, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Shigeharu Okaji
  • Patent number: 8399180
    Abstract: A method is disclosed which includes patterning a photoresist layer on a substrate of a structure, removing a first portion of the photoresist layer to expose a first area of the substrate, etching the first area to form a cavity having a first depth, removing a second portion of the photoresist to expose an additional area of the substrate, and etching the cavity to expose a first conductor in the structure and the additional area to expose a second conductor in the structure.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: March 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Ramona Kei, Emily R. Kinser, Anthony D. Lisi, Richard Wise, Hakeem Yusuff
  • Patent number: 8394576
    Abstract: The method of patterning a photosensitive layer includes providing a substrate including a first layer formed thereon, treating the substrate including the first layer with cations, forming a first photosensitive layer over the first layer, patterning the first photosensitive layer to form a first pattern, treating the first pattern with cations, forming a second photosensitive layer over the treated first pattern, patterning the second photosensitive layer to form a second pattern, and processing the first layer using the first and second patterns as a mask.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: March 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Tzu Lu, Kuei Shun Chen, Tsiao-Chen Wu, Vencent Chang, George Liu
  • Patent number: 8394578
    Abstract: A method of forming a resist pattern, including: forming a resist film on a substrate using a resist composition containing a base component (A) which exhibits decreased solubility in an organic solvent under action of an acid and an acid-generator component (B) which generates an acid upon exposure, conducting exposure of the resist film, and patterning the resist film by a negative tone development using a developing solution containing an organic solvent, wherein the base component (A) includes a resin component (A1) containing a structural unit (a1) derived from an acrylate ester containing an acid decomposable group which exhibits increased hydrophilicity by the action of an acid and a structural unit (a0) derived from an acrylate ester containing an —SO2— containing cyclic group.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: March 12, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tomoyuki Hirano, Takahiro Dazai, Daiju Shiono
  • Patent number: 8394577
    Abstract: In a chemically amplified resist composition comprising a base resin, an acid generator, and a solvent, 1,400-5,000 pbw of the solvent is present per 100 pbw of the base resin, and the solvent comprises at least 60 wt % of PGMEA and ethyl lactate, and 0.2-20 wt % of a high-boiling solvent. A resist pattern is formed by coating the resist composition on a substrate, prebaking, patternwise exposure, post-exposure baking, development, and heat treatment.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: March 12, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Akinobu Tanaka, Tamotsu Watanabe, Satoshi Watanabe