Patents Examined by Renee R. Berry
  • Patent number: 6908802
    Abstract: A circuit element that includes a ferroelectric device connected to a substrate device. The circuit element is constructed by fabricating the substrate device in a semiconductor substrate and depositing a dielectric layer over the semiconductor substrate. A via is then etched in the dielectric layer to provide access to the substrate device and filled with copper or tungsten. A layer of a conducting metallic oxide is then deposited on the conducting plug, and a layer of ferroelectric material is deposited on the layer of conducting metal oxide. The layer of conducting metallic oxide is deposited at a temperature below 450° C., preferably at room temperature.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: June 21, 2005
    Assignee: Tachyon Semiconductor Corporation
    Inventor: Ramamoorthy Ramesh
  • Patent number: 6908862
    Abstract: A method of depositing a film on a substrate disposed in a substrate processing chamber. The method includes depositing a first portion of the film by forming a high density plasma from a first gaseous mixture flown into the process chamber. The deposition processes is then stopped and part of the deposited first portion of the film is etched by flowing a halogen etchant into the processing chamber. Next, the surface of the etched film is passivated by flowing a passivation gas into the processing chamber, and then a second portion of the film is deposited over the first portion by forming a high density plasma from a second gaseous mixture flown into the process chamber. In one embodiment the passivation gas consists of an oxygen source with our without an inert gas.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: June 21, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Dongqing Li, Xiaolin C. Chen, Lin Zhang
  • Patent number: 6905950
    Abstract: The present invention involves a method for fabricating interconnecting lines and vias. According to the invention, copper is grown within the openings in a patterned coating. The patterned coating can be a resist coating or a dielectric coating. Either type of coating can be formed over a copper seed layer, whereby the seed layer is exposed within the pattern gaps. The copper seed layer can also be provided within the pattern gaps after patterning. Copper features are grown within the pattern gaps by plating. Where the patterned coating is a resist, the resist is stripped leaving the copper features in the inverse pattern image. The copper features can be coated with a diffusion barrier layer and a dielectric. The dielectric is polished to leave the dielectric filling the spaces between copper features. The invention provides copper lines and vias without the need for a dielectric or metal etching step.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: June 14, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Michael K. Templeton, Bhanwar Singh, Bharath Rangarajan
  • Patent number: 6905944
    Abstract: A method for fabricating a deep trench etched into a semiconductor substrate is provided by the present invention. The trench is divided into an upper portion and a lower portion and the method allows for the lower portion to be processed differently from the upper portion. After the trench is etched into the semiconductor substrate, a nitride layer is formed over a sidewall of the trench. A layer of oxide is then formed over the nitride layer. A filler material is then deposited and recessed to cover the oxide layer in the lower portion of the trench, followed by the removal of the oxide layer from the upper portion of the trench above the filler material. Once the oxide layer is removed from the upper portion of the trench, the filler material can also be removed, while allowing the oxide layer and the nitride layer to remain in the lower portion of the trench. Silicon is selectively deposited on the exposed nitride layer in the upper portion of the trench.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: June 14, 2005
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Michael Patrick Chudzik, Irene McStay, Helmut Horst Tews, Porshia Shane Wrschka
  • Patent number: 6900099
    Abstract: A flash memory cell. The memory cell includes a substrate, a floating gate, a control gate, and a source/drain region. The floating gate, disposed over the substrate and insulated from the substrate, has a plurality of hut structures. The control gate is disposed over the floating gate and insulated from the floating gate. The source/drain region is formed in the substrate. This invention further includes a method of fabricating a flash memory cell. First, a polysilicon layer and a germanium layer are successively formed over a substrate and insulated from the substrate. Subsequently, the substrate is annealed to form a germanium layer having a plurality of hut structures on the polysilicon layer to serve as a floating gate with the polysilicon layer. Next, a control gate is formed over the floating gate and insulated from the floating gate. Finally, a source/drain region is formed in the substrate.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: May 31, 2005
    Assignee: Nanya Technology Corporation
    Inventor: Yung-Meng Huang
  • Patent number: 6897163
    Abstract: A method for depositing a low dielectric constant film is provided. The low dielectric constant film includes at least one silicon oxycarbide layer and at least one substantially silicon-free layer comprising carbon and hydrogen. The layers are deposited from a gas mixture including an organosilicon compound and a silicon-free hydrocarbon-based compound. The low dielectric constant film is deposited by a plasma process than includes pulses of RF power.
    Type: Grant
    Filed: January 31, 2003
    Date of Patent: May 24, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Frederic Gaillard, Srinivas D. Nemani
  • Patent number: 6893982
    Abstract: A method for forming a thin film on a gate electrode reduces oxidation of the gate electrode during a re-oxidation process to fix the damage to the gate oxide film caused during the formation of the gate electrode pattern. The gate electrode pattern formed in this manner will have reduced defects after re-oxidation. After a gate oxide film is formed on a substrate, a gate electrode pattern is formed on the gate oxide film through an etching process. A thin film that includes nitride is then continuously formed on the gate oxide film and on the gate electrode by utilizing a deposition rate difference between the thin film on the gate oxide film and on the thin film forming the gate electrode. Because of the thin film formed on the gate electrode, oxidation of the gate electrode is reduced during the re-oxidation of the gate oxide film.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: May 17, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Eui Kim
  • Patent number: 6891744
    Abstract: Configurable electronic circuits comprise arrays of cross-points of one layer of metal/semiconductive nanoscale lines crossed by a second layer of metal/semiconductive nanoscale lines, with a configurable layer between the lines. Methods are provided for altering the thickness and/or resistance of the configurable layer by oxidation or reduction methods, employing a solid material as the configurable layer. Specifically a method is provided for configuring nanoscale devices in a crossbar array of configurable devices comprising arrays of cross-points of a first layer of nanoscale lines comprising a first metal or a first semiconductor material crossed by a second layer of nanoscale lines comprising a second metal or a second semiconductor material.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: May 10, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Yong Chen, R. Stanley Williams
  • Patent number: 6890809
    Abstract: A method for fabricating a p-n heterojunction device is provided, the device being preferably comprised of an n-type GaN layer co-doped with silicon and zinc and a p-type AlGaN layer. The device may also include a p-type GaN capping layer. The device can be grown on any of a variety of different base substrates, the base substrate comprised of either a single substrate or a single substrate and an intermediary layer. The device can be grown directly onto the surface of the substrate without the inclusion of a low temperature buffer layer.
    Type: Grant
    Filed: August 9, 2002
    Date of Patent: May 10, 2005
    Assignee: Technologies and Deviles International, Inc.
    Inventors: Sergey Karpov, Alexander Usikov, Heikki I. Helava, Denis Tsvetkov, Vladimir A. Dmitriev
  • Patent number: 6887800
    Abstract: A method for making a semiconductor device is described. That method comprises modifying a first surface, and forming a high-k gate dielectric layer on an unmodified second surface.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: May 3, 2005
    Assignee: Intel Corporation
    Inventors: Matthew V. Metz, Suman Datta, Jack Kavalieros, Mark L. Doczy, Justin K. Brask, Uday Shah, Robert S. Chau
  • Patent number: 6887768
    Abstract: A method and structure for a composite trench fill for silicon electronic devices. On a planar silicon substrate having a first deposited layer of oxide and a second deposited layer of polysilicon, a trench is etched. Deposition and etch processes using a combination of oxide and polysilicon are used to fabricate a composite trench fill. The trench bottom and a lower portion of the walls are covered with oxide. The remaining portion of the trench volume is filled with polysilicon. The method may be used for junction field effect transistors (JFETs) and metal oxide semiconductor field effect transistors (MOSFETs).
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: May 3, 2005
    Assignee: Lovoltech, Inc.
    Inventor: Ho-Yuan Yu
  • Patent number: 6887789
    Abstract: A process for providing one or more protected copper elements on a surface of a workpiece is set forth. In accordance with the process, a barrier layer is applied to the workpiece. If the barrier layer is not suitable as a seed layer for subsequent electroplating processes, a separate seed layer is applied over the surface of the barrier layer. One or more copper elements are then electroplated on selected portions of the seed layer or, if suitable, the barrier layer. If used, the seed layer is then substantially removed. At least a portion of a surface of the barrier layer is rendered unplatable while leaving the copper elements suitable for electroplating. A protective layer is then electroplated onto surfaces of the one or more copper elements.
    Type: Grant
    Filed: February 28, 2002
    Date of Patent: May 3, 2005
    Assignee: Semitool, Inc.
    Inventor: E. Henry Stevens
  • Patent number: 6884640
    Abstract: One embodiment of the present invention provides a system that determines the composition of a layer within an integrated device. The system operates by first receiving the integrated device. Next, the system measures properties of the layer using electromagnetic radiation. The properties of the layer measured are used to determine an index of refraction for the layer. The system then solves for the composition of the layer using the index of refraction.
    Type: Grant
    Filed: May 13, 2003
    Date of Patent: April 26, 2005
    Assignee: The Regents of the University of California
    Inventors: Jeffrey J. Peterson, Charles E. Hunt, Peter J. Bjeletich
  • Patent number: 6884703
    Abstract: At the surface of a substrate a gate oxide layer is produced and is given a dual thickness. A first oxide layer is produced over the surface of a substrate by thermal oxidation and is covered by a mask layer defining suitably located openings. A material accelerating or retarding the oxidation of the substrate is ion implanted through the first oxide layer in the openings, after which the mask is removed and the thermal oxidation is continued over the now exposed total surface of the first oxide layer. The material used for ion implanting can be an oxidation rate promoting material such as chloride and bromine. The manufacturing method is simple and adds little to presently used process flows for fabricating MOS devices. The dual thickness of the gate oxide gives the manufactured MOS device a low level of total noise generated when using the device for instance in RF-circuits.
    Type: Grant
    Filed: January 13, 2004
    Date of Patent: April 26, 2005
    Assignee: Infineon Technologies AG
    Inventors: Torkel Arnborg, Ted Johansson
  • Patent number: 6885070
    Abstract: In a semiconductor memory device including memory cells and a peripheral circuit unit, a memory cell has a first gate structure formed on a semiconductor substrate; a first impurity region of a first conductive type formed in the substrate on a first side of the gate structure; and a second impurity region formed in the substrate on a second side of the gate structure, the second impurity region including: a third impurity region of the first conductive type, a fourth impurity region of the first conductive type between the third impurity region and the second side of the gate structure, and a halo ion region of a second conductive type formed adjacent to the fourth impurity region.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: April 26, 2005
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Sang-Bai Yi, Jae-Min Yu, Sung-Chul Lee
  • Patent number: 6875694
    Abstract: An exposed surface of inlaid Cu is plasma treated for improved capping layer adhesion while controlling plasma conditions to avoid damaging porous low-k materials. Embodiments include forming a dual damascene opening in a porous dielectric material having a dielectric constant (k) of up to 2.4, e.g., 2.0 to 2.2, filling the opening with Cu, conducting CMP, plasma treating the exposed Cu surface in NH3 or H2 at a low power, e.g., 75 to 125 watts, for a short period of time, e.g., 2 to 8 seconds, without etching the porous low-k material and depositing a capping layer, e.g., silicon nitride or silicon carbide.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: April 5, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Robert Huertas, Hieu Pham
  • Patent number: 6874898
    Abstract: A manufacturing method of a thin film apparatus, includes: a first step for forming a separation layer on a heat resistant substrate; a second step for forming a thin film device on the separation layer; a third step for providing a surface layer on the thin film device; and a fourth step for generating a peeling phenomenon at the interface of the separation layer and the heat resistant substrate so as to peel the heat resistant substrate from a side of the thin film device.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: April 5, 2005
    Assignee: Ricoh Company, Ltd.
    Inventor: Yoshikazu Akiyama
  • Patent number: 6872627
    Abstract: A new processing sequence is provided for the creation of a metal gate electrode. At least two polysilicon gate electrodes are provided over the surface of a substrate, these polysilicon gate electrodes having a relatively thick layer of gate dielectric making these polysilicon gate electrodes suitable for high-voltage applications. The two polysilicon gate electrodes are divided into a first and a second gate electrode, both gate electrodes are imbedded in a layer of Intra Metal Dielectric (IMD). The first gate electrode is removed by applying a lift-off process to this first gate electrode, creating an opening in the layer of IMD. The second gate structure is shielded by a photoresist mask during the removal of the first gate electrode. A metal gate electrode is created in the opening created in the layer of IMD, using a thin layer of gate dielectric.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: March 29, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Sheng Hsiung Chen, Minghsing Tsai
  • Patent number: 6867151
    Abstract: A method of forming a polycrystalline silicon layer includes: disposing a mask over the amorphous silicon layer, the mask having a plurality of transmissive regions, the plurality of transmissive regions being disposed in a stairstep arrangement spaced apart from each other in a first direction and a second direction substantially perpendicular from the first direction, each transmissive region having a central portion and first and second side portions that are adjacent to opposite ends of the central portion along the first direction, and wherein each of the portions has a length along the first direction and a width along the second direction, and wherein the width of first and second portions decreases away from the central portion along the first direction; irradiating a laser beam onto the amorphous silicon layer a first time through the mask to form a plurality of first irradiated regions corresponding to the plurality of transmissive regions, each first irradiated region having a central portion, and
    Type: Grant
    Filed: June 5, 2003
    Date of Patent: March 15, 2005
    Assignee: LG. Philips LCD Co., Ltd.
    Inventor: Yun-Ho Jung
  • Patent number: 6868015
    Abstract: A self aligned method of forming a semiconductor memory array of floating gate memory cells in a semiconductor substrate has a plurality of spaced apart isolation regions and active regions on the substrate substantially parallel to one another in the column direction. Floating gates are formed in each of the active regions by forming a conductive layer of material. Trenches are formed in the row direction across the active regions, and are filled with a conductive material to form blocks of conductive material that are the control gates. Sidewall spacers of conductive material are formed along the floating gate blocks to give the floating gates protruding portions that extend over the floating gate.
    Type: Grant
    Filed: July 26, 2001
    Date of Patent: March 15, 2005
    Assignee: Silicon Storage Technology, Inc.
    Inventor: Chih Hsin Wang