Patents Examined by Satish Chandra
  • Patent number: 9034142
    Abstract: A temperature controlled showerhead assembly for chemical vapor deposition (CVD) chambers enhances heat dissipation to provide accurate temperature control of the showerhead face plate and maintain temperatures substantially lower than surrounding components. Heat dissipates by conduction through a showerhead stem and removed by the heat exchanger mounted outside of the vacuum environment. Heat is supplied by a heating element inserted into the steam of the showerhead. Temperature is controlled using feedback supplied by a temperature sensor installed in the stem and in thermal contact with the face plate.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: May 19, 2015
    Assignee: Novellus Systems, Inc.
    Inventors: Christopher M. Bartlett, Ming Li, Jon Henri, Marshall R. Stowell, Mohammed Sabri
  • Patent number: 9023436
    Abstract: Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces are disclosed herein. In one embodiment, a method includes depositing molecules of a gas onto a microfeature workpiece in the reaction chamber and selectively irradiating a first portion of the molecules on the microfeature workpiece in the reaction chamber with a selected radiation without irradiating a second portion of the molecules on the workpiece with the selected radiation. The first portion of the molecules can be irradiated to activate the portion of the molecules or desorb the portion of the molecules from the workpiece. The first portion of the molecules can be selectively irradiated by impinging the first portion of the molecules with a laser beam or other energy source.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: May 5, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Ross S. Dando, Dan Gealy
  • Patent number: 8986493
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Masaru Nishino
  • Patent number: 8980006
    Abstract: An apparatus for chemical vapor deposition is disclosed. An aspect of the present invention provides an apparatus for chemical vapor deposition that includes: a process chamber configured to demarcate a reaction space; a back plate placed above the reaction space and having a gas inlet in a middle thereof; a gas diffusion member arranged below and separated from the gas inlet and coupled to the back plate by a first coupling member and configured to diffuse process gas supplied through the gas inlet; a shower head placed below and separated from the back plate and the gas diffusion member and having a middle part thereof coupled to the gas diffusion member by a second coupling member and having a plurality of spray holes perforated therein; and a susceptor arranged below and separated from the shower head and supporting a substrate.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: March 17, 2015
    Assignee: DMS Co., Ltd.
    Inventors: Yun-Sung Huh, Seung-Il Park
  • Patent number: 8961688
    Abstract: Disclosed are a method and a device for plasma treating workpieces (5). Said workpiece is inserted into a chamber (7) of a treatment station (3), which can be at least partly evacuated, and is positioned within the treatment station by means of a holding element. In order to simultaneously supply at least two chambers with at least one operating means, a flow of the operating means is branched at least once so as to form at least two partial flows (55).
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: February 24, 2015
    Assignee: KHS Corpoplast GmbH
    Inventors: Michael Lizenberg, Frank Lewin, Hartwig Müller, Klaus Vogel, Gregor Arnold, Stephan Behle, Andreas Lüttringhaus-Henkel, Matthias Bicker, Jürgen Klein, Marten Walther
  • Patent number: 8900398
    Abstract: An arrangement for performing pressure control within a processing chamber substrate processing is provided. The arrangement includes a peripheral ring configured at least for surrounding a confined chamber volume that is configured for sustaining a plasma for etching the substrate during substrate processing. The peripheral ring includes a plurality of slots that is configured at least for exhausting processed byproduct gas from the confined chamber volume during substrate processing. The arrangement also includes a conductive control ring that is positioned next to the peripheral ring and is configured to include plurality of slots. The pressure control is achieved by moving the conductive control ring relative to the peripheral ring such that a first slot on the peripheral ring and a second slot on the conductive control ring are offset with respect to one another in a range of zero offset to full offset.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Michael C. Kellogg, Babak Kadkhodayan, Andrew D. Bailey, III
  • Patent number: 8888949
    Abstract: A gas distribution apparatus for a plasma processing equipment is provided. The gas distribution apparatus includes a support plate (3) and a showerhead electrode (5) that are secured together parallelly to define a chamber for gas distribution. A first gas distribution plate (4) is arranged in the chamber horizontally. On an upper surface of the gas distribution plate (4), at least one circumferential gas-flow groove (41) around its axis and a plurality of radial gas-flow grooves (42) communicating with the at least one circumferential gas-flow groove (41) is arranged. A plurality of axial viahole (43) are formed in the at least one circumferential gas-flow groove (41) and the plurality of radial gas-flow grooves (42). The gas distribution apparatus can achieve a uniform gas distribution in the plasma processing equipment.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: November 18, 2014
    Assignee: Beijing NMC Co., Ltd.
    Inventor: Liqiang Yao
  • Patent number: 8858710
    Abstract: An object is to suppress differences in concentration between processing gases supplied to a plurality of works in a chemical solution vaporizing tank. The chemical solution vaporizing tank includes a tank body having a plurality of vaporizing chambers formed by laterally and airtightly partitioning an internal space of the tank body, a chemical solution passage located under a liquid level in each vaporizing chamber and formed at each partition member for passing the chemical solution between the vaporizing chambers, and a gas passage located above the liquid level in each vaporizing chamber and formed at the partition member to communicate the vaporizing chambers with each other for uniformizing pressures in the respective vaporizing chambers. A quantity of the channel layer in each vaporizing chamber is controlled by managing, e.g., the liquid level.
    Type: Grant
    Filed: July 14, 2008
    Date of Patent: October 14, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kouichi Mizunaga, Hiroyuki Kudoh, Kazuhiko Ooshima
  • Patent number: 8840724
    Abstract: The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: September 23, 2014
    Assignee: Honda Motor Co., Ltd.
    Inventors: Leonid Grigorian, Louis Hornyak, Anne C. Dillon, Michael J. Heben
  • Patent number: 8834631
    Abstract: A processing apparatus includes a processing chamber configured to accommodate a target object to be processed, gas supply paths provided in a corresponding relationship with the kinds of process gases supplied into the processing chamber, and valves respectively arranged in the gas supply paths to open and close the gas supply paths. The processing apparatus further includes valve drive units configured to independently drive the valves, sensor units configured to independently monitor opening and closing operations of the valves, and a control unit configured to determine operation statuses of the valves based on valve opening and closing drive signals transmitted to the valve drive units and/or valve opening and closing detection signals transmitted from the sensor units.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: September 16, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Katsuhito Hirose, Toshio Miyazawa, Toshiharu Hirata, Toshimasa Tanaka
  • Patent number: 8790529
    Abstract: A gas supply system for supplying a gas into a processing chamber for processing a substrate to be processed includes: a processing gas supply unit; a processing gas supply line; a first and a second branch line; a branch flow control unit; an additional gas supply unit; an additional gas supply line; and a control unit. The control unit performs, before processing the substrate to be processed, a processing gas supply control and an additional gas supply control by using the processing gas supply unit and the additional gas supply unit, respectively, wherein the additional gas supply control includes a control that supplies the additional gas at an initial flow rate greater than a set flow rate and then at the set flow rate after a lapse of a period of time.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: July 29, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shinichiro Hayasaka, Ken Horiuchi, Fumiko Yagi, Takeshi Yokouchi
  • Patent number: 8784948
    Abstract: Apparatuses are provided for controlling flow conductance of plasma formed in a plasma processing apparatus that includes an upper electrode opposite a lower electrode to form a gap therebetween. The lower electrode is adapted to support a substrate and coupled to a RF power supply. Process gas injected into the gap is excited into the plasma state during operation. The apparatus includes a ground ring that concentrically surrounds the lower electrode and has a set of slots formed therein, and a mechanism for controlling gas flow through the slots.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: July 22, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Jerrel K. Antolik, Scott Stevenot
  • Patent number: 8764902
    Abstract: A film-forming apparatus capable of discharging a feedstock gas and a reactive gas to an inner side of the vacuum chamber by more effectively cooling the gases without mixing them in comparison with the conventional art. A discharge plate having a first face exposed inside the vacuum chamber is provided with a plurality of feedstock gas introduction holes and a plurality of reactive gas introduction holes penetrating the discharge plate. A plurality of grooves having the feedstock gas introduction holes located on the bottom face are formed in the second face opposite to the first face of the discharge plate, a top plate that covers the groove is arranged over the second face, and the feedstock gas through-hole formed in the top plate and the feedstock gas introduction hole are connected to each other with the first auxiliary pipe.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: July 1, 2014
    Assignee: ULVAC, Inc.
    Inventors: Yasumasa Suzuki, Kenji Kimura, Kazuya Tsukagoshi, Takashi Kageyama
  • Patent number: 8746173
    Abstract: A plasma deposition device for coating a substrate includes: a vacuum chamber; a waveguide disposed in the vacuum chamber for transmitting a microwave; an antenna unit disposed in the vacuum chamber above the substrate for receiving the microwave from the waveguide, and connected to the waveguide, the antenna unit including an outer conductor and an inner conductor wire that is disposed inside the outer conductor; a gas supplying conduit disposed inside the outer conductor and surrounding the inner conductor wire, and including a plurality of exhaust holes for blowing a plasma-inducing gas downward and toward the substrate to interact with the microwave and to produce plasma; and a blocking unit disposed in the vacuum chamber to prevent the plasma-inducing gas blown to the substrate from flowing back to the gas supplying conduit.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: June 10, 2014
    Assignees: Mastek Technologies
    Inventors: I-Nan Lin, Ton-Rong Tseng
  • Patent number: 8741063
    Abstract: Substrate processing uniformity is improved in the surfaces of wafers and between the wafers.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: June 3, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hirohisa Yamazaki, Satoshi Okada, Tsutomu Kato
  • Patent number: 8733280
    Abstract: A top assembly for a processing chamber having a back plate and a hub is provided. The back plate has a first portion and a second portion. The first portion is connected to the second portion through a central region of the back plate, wherein a gap is defined between opposing surfaces of the first and second portions outside the central region. The first portion includes an embedded heating element. The hub is affixed to a top surface of the second portion of the back plate over the central region. The hub has a top surface with a plurality of channel openings defined within a central region of the hub and a bottom surface having a central extension with a plurality of channels defined therethrough. The bottom surface includes an annular extension spaced apart from the central extension.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: May 27, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Lipyeow Yap, Jay DeDontney, Shouqian Shao, Jason Wright
  • Patent number: 8721835
    Abstract: Embodiments of the device relate to an injector (11) for injecting a gas in a processing chamber, including an inlet (21) for receiving a gas wave or a gas flow, a flow-shaping section (20) for expanding the gas in a direction (YY?) perpendicular to a propagation axis (XX?) of the gas, and an outlet (22) for expelling the gas. The flow-shaping section has first and second sidewalls (23) which diverge according to a divergence angle (A1) relative to the propagation axis of the gas, and includes means for slowing down the velocity of the gas near the center of the flow-shaping section, relative to the velocity of the gas near at least one sidewall.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: May 13, 2014
    Assignee: Koolerheadz
    Inventor: Jacques Constant Stefan Kools
  • Patent number: 8715455
    Abstract: A treatment system is described for exposing a substrate to various processes. Additionally, a gas distribution system is configured to be coupled to and utilized with the treatment system in order to distribute process material above the substrate is provided. The treatment system includes a process chamber, a radical generation system coupled to the process chamber, a gas distribution system coupled to the radical generation system and configured to distribute reactive radicals above a substrate, and a temperature controlled pedestal coupled to the vacuum chamber and configured to support the substrate. The gas distribution system is configured to efficiently transport radicals to the substrate and distribute the radicals above the substrate.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: May 6, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Patent number: 8709202
    Abstract: Components of a plasma processing apparatus includes a backing member with gas passages attached to an upper electrode with gas passages. To compensate for the differences in coefficient of thermal expansion between the metallic backing member and upper electrode, the gas passages are positioned and sized such that they are misaligned at ambient temperature and substantially concentric at an elevated processing temperature. Non-uniform shear stresses can be generated in the elastomeric bonding material, due to the thermal expansion. Shear stresses can either be accommodated by applying an elastomeric bonding material of varying thickness or using a backing member comprising of multiple pieces.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: April 29, 2014
    Assignee: Lam Research Corporation
    Inventors: Anthony De La Llera, Allan K. Ronne, Jaehyun Kim, Jason Augustino, Rajinder Dhindsa, Yen-Kun Wang, Saurabh J. Ullal, Anthony J. Norell, Keith Comendant, William M. Denty, Jr.
  • Patent number: 8679287
    Abstract: A secondary reaction chamber with a mesh reactor element and a heater assembly are positioned in a foreline between a CVD reaction chamber and a vacuum pump to mix and react all previously unreacted precursor reactants to remove them from the effluent before they can reach and damage the vacuum pump.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: March 25, 2014
    Assignee: MKS Instruments, Inc.
    Inventors: Youfan Gu, David Neumeister