Patents Examined by Sun J Lin
  • Patent number: 10997341
    Abstract: Disclosed herein are system, method, and device embodiments for executing an application program interface (API) plugin for use in conjunction with a vector editing design tool. For example, a method may include: retrieving contextual information related to a plurality of features of a design tool upon initialization of the design tool, the contextual information being stored on a remote server from one or more computing devices; detecting a placement of a first feature from among the plurality of features in a design environment of the design tool; and displaying the contextual information in the design environment in response to detecting the placement of the first feature.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: May 4, 2021
    Assignee: salesforce.com, inc.
    Inventors: Alan Weibel, Abigail Sigler, John Ryan Reimer Earle
  • Patent number: 10990736
    Abstract: Implementing a circuit design can include detecting, using computer hardware, a re-convergent section of a circuit design, masking, using the computer hardware, a sequential circuit element of the re-convergent section located between a start and an end of the re-convergent section, and performing, using the computer hardware, an optimization operation on combinatorial logic of the re-convergent section to create optimized combinatorial logic. Using the computer hardware, the optimized combinatorial logic of the re-convergent section can be mapped. Further, the re-convergent section can be modified subsequent to the mapping to match timing of the re-convergent section prior to the masking.
    Type: Grant
    Filed: March 17, 2020
    Date of Patent: April 27, 2021
    Assignee: Xilinx, Inc.
    Inventors: Chaithanya Dudha, Satyaprakash Pareek, Krishna Garlapati, Ashish Sirasao
  • Patent number: 10990746
    Abstract: A method of designing an integrated circuit (IC) device includes specifying a set of criteria corresponding to an IC manufacturing process, using a processor to generate a design rule by applying a design rule instruction to the set of criteria, generating a design rule manual (DRM), the DRM being an electronic file comprising the design rule, using the design rule from the DRM to perform a design rule check (DRC) on a layout of at least a portion of the IC device, and, based on verifying the layout by performing the DRC, storing an IC layout diagram comprising the layout on a non-transitory computer-readable medium.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: April 27, 2021
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD., TSMC CHINA COMPANY, LIMITED
    Inventor: Ya-Min Zhang
  • Patent number: 10976671
    Abstract: A technique relates to correcting an area of overlap between two films created by sequential shadow mask evaporations. At least one process is performed of: correcting design features in an original layout to generate a corrected layout using a software tool, such that the corrected layout modifies shapes of the design features and correcting the design features in the original layout to generate the corrected layout using a lithographic tool, such that the corrected layout modifies the shapes of the design features. The modified shapes of the design features are patterned at locations on a wafer according to the corrected layout using the lithographic tool. A first film is deposited by an initial shadow mask evaporation and a second film by a subsequent shadow mask evaporation to produce corrected junctions at the locations on the wafer, such that the first film and the second film have an overlap.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: April 13, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Markus Brink, Sami Rosenblatt, Bryan D. Trimm
  • Patent number: 10977404
    Abstract: Disclosed approaches for dynamically creating one or more scan chains in a programmable integrated circuit (IC) include placing elements of a circuit design on first registers of the programmable IC. A processor can determine second registers of the programmable IC that are unused by the circuit design after placing the elements of the circuit design. Data-out pins of the first registers are coupled to data-in pins of the second registers, respectively, and the second registers are coupled into a scan chain.
    Type: Grant
    Filed: January 28, 2020
    Date of Patent: April 13, 2021
    Assignee: XILINX, INC.
    Inventors: Srinivas T. Reddy, Dinesh Gaitonde, Ritesh Mani
  • Patent number: 10978751
    Abstract: A battery system has a first cell balancing circuit and a second cell balancing circuit with a bus bar disposed therebetween. The battery system further includes an integrated circuit measuring a first voltage between two sense lines coupled to opposite ends of the bus bar while the first cell balancing circuit and a second cell balancing circuit are turned off, and determining a voltage value based on the first voltage. A microcontroller receives the voltage value and determines that an open circuit condition exists in the bus bar if the voltage value is greater than a threshold voltage value.
    Type: Grant
    Filed: March 13, 2019
    Date of Patent: April 13, 2021
    Assignee: LG Chem, Ltd.
    Inventor: Greg Bober
  • Patent number: 10970454
    Abstract: Invention disclosed herein is a method for performing connectivity verification of an integrated circuit device. In embodiments of the invention, the method includes creating a directed graph representation of the integrated circuit device. The method can further include determining target gates referred to as trace signals within the integrated circuit device. The method can further include creating a hierarchical representation of trace signals and determining nested trace signals. The method can further include determining one or more locations for cut points for non-nested trace signals. Thereafter, performing connectivity verification using the one or more locations for cut points. Finally improving scalability of the connectivity verification by utilizing hierarchical decomposition embodiment of the invention.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: April 6, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Pradeep Kumar Nalla, Raj Kumar Gajavelly, Jason Baumgartner, Raja Bilwakeshwar Ivaturi
  • Patent number: 10963607
    Abstract: Computer-implemented systems and methods are described herein for determining mechanical properties of an electronic assembly. An input specification for a model of the electronic assembly is received, wherein the input specification includes a compressible body and a surrounding component in the electronic assembly. A geometric interference between the compressible body and the surrounding component is identified. A displacement is generated for the compressible body to account for the geometric interference. A non-linear contact is then generated between the displaced compressible body and the surrounding component. The model is updated with the displacement and the non-linear contact. Then, a resulting force equilibrium is determined within the electronic assembly based on the updated model, wherein the resulting force equilibrium is determined by removing the displacement from the updated model.
    Type: Grant
    Filed: March 16, 2020
    Date of Patent: March 30, 2021
    Assignee: Ansys, Inc.
    Inventor: Abel Ramos
  • Patent number: 10962875
    Abstract: An integrated circuit (IC) method is provided. The method includes building a mask model to simulate an aerial mask image of a mask, and a compound lithography computational (CLC) model to simulate a wafer pattern; calibrating the mask model using a measured aerial mask image of the mask; calibrating the CLC model using measured wafer data and the calibrated mask model; performing an optical proximity correction (OPC) process to a mask pattern using the calibrated CLC model, thereby generating a corrected mask pattern for mask fabrication. Alternatively, the method includes measuring a mask image of a mask optically projected on a wafer with an instrument; calibrating a mask model using the measured mask image; calibrating a CLC model using measured wafer data and the calibrated mask model; and performing an OPC process to a mask pattern using the calibrated CLC model, thereby generating a corrected mask pattern for mask fabrication.
    Type: Grant
    Filed: December 2, 2019
    Date of Patent: March 30, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsu-Ting Huang, Chih-Shiang Chou, Ru-Gun Liu
  • Patent number: 10956650
    Abstract: Systems and methods for improving design performance of a layout design through placement of functional and spare cells by leveraging layout dependent effect (LDE) is disclosed. The method includes the steps of: importing a plurality of technology files associated with the layout design into an EDA system; importing a netlist associated with the layout design into the EDA system; importing a standard cell library containing pattern-S timing information of the functional cells and the spare cells; performing floorplan and spare cell insertion, wherein the spare cells are distributed uniformly across the floorplan; and conducting placement and optimization through re-placement of the at least one functional cells and the spare cells to form pattern-S with at least one timing critical cells to improve an overall timing performance of the layout design.
    Type: Grant
    Filed: February 11, 2020
    Date of Patent: March 23, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chun-Yao Ku, Jyun-Hao Chang, Ming-Tao Yu, Wen-Hao Chen
  • Patent number: 10955739
    Abstract: A mask process development having a consistent mask targeting is described. A method includes receiving an integrated circuit (IC) design. A test mask is generated that converts the IC design into one or more physical layouts. A set of one or more sub-resolution assist features (SRAFs) is inserted into the test mask. The set of one or more SRAFs is inserted into one or more other masks, which are derived from the test mask for mask targeting, such that the test mask and the one or more other masks include a same set of the one or more SRAFs.
    Type: Grant
    Filed: November 28, 2017
    Date of Patent: March 23, 2021
    Assignee: Intel Coropration
    Inventors: Harsha Grunes, Christopher N. Kenyon, Sven Henrichs
  • Patent number: 10949595
    Abstract: A system performs a layout design of a circuit for a small area satisfying a design rule within a short period of time. In a layout design system which includes a processing portion and in which a circuit diagram and layout design information are input to the processing portion, the processing portion has a function of generating layout data from the circuit diagram and the layout design information by performing a Q learning, the processing portion has a function of outputting the layout data, the processing portion includes a first neural network, and the first neural network estimates an action value function in the Q learning.
    Type: Grant
    Filed: June 14, 2018
    Date of Patent: March 16, 2021
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Naoaki Tsutsui, Yusuke Koumura, Yuji Iwaki, Shunpei Yamazaki
  • Patent number: 10949601
    Abstract: A method for reducing chemo-epitaxy directed-self assembly (DSA) defects of a layout of a guiding pattern, the method comprising expanding a shape of the guiding pattern by a predetermined distance in both lateral directions to form a fin keep mask, where the fin keep mask comprises a stand-alone mask.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: March 16, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael A. Guillorn, Kafai Lai, Chi-Chun Liu, Ananthan Raghunathan, Hsinyu Tsai
  • Patent number: 10943040
    Abstract: Methods, systems and computer program products for improved placement of a clock gating latch are provided. Aspects include identifying a clock gating latch that is designated to control a local clock buffer. Aspects also include determining a plurality of data latches that are designated to be controlled by the local clock buffer. Aspects also include determining positions of the plurality of data latches within a layout. Aspects also include determining a position of the clock gating latch within the layout based on the positions of the plurality of data latches within the layout.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: March 9, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jesse Surprise, Gerald Strevig, III, Shawn Kollesar, Adam Matheny
  • Patent number: 10936779
    Abstract: A computer-implemented method of generating randomized electrical interconnects for an electronic circuit comprises steps of receiving a netlist of nodes of electronic components to be connected, each connection between the nodes forming an electrical interconnect; determining a list of one or more path directions for each electrical interconnect; determining a plurality of path direction distances for each electrical interconnect; generating a plurality of segments for each electrical interconnect, each segment having one path direction and a length which are selected at random; calculating a sum of the lengths of all of the segments in each path direction each time a segment is generated for each electrical interconnect; removing one path direction from the list of path directions when a first condition is met; and stopping the generating a plurality of segments for each electrical interconnect when a second condition is met.
    Type: Grant
    Filed: May 3, 2019
    Date of Patent: March 2, 2021
    Assignee: Honeywell Federal Manufacturing & Technologies, LLC
    Inventor: Joshua Trujillo
  • Patent number: 10936781
    Abstract: A method for setting parameters in design of a printed circuit board (PCB) includes obtaining multiple combinations of layout parameters of a PCB and inputting the multiple combinations of layout parameters into a predetermined PCB layout simulation software to obtain multiple interference parameter combinations. The multiple combinations of layout parameters and the multiple interference parameter combinations are defined as training samples, and a predetermined network model is trained through the training samples to obtain a first prediction model. The first prediction model is trained and tested to obtain an impedance prediction model. When the multiple combinations of layout parameters are inputted to the impedance prediction model, only an average predetermined error is allowed between impedance values predicted by the impedance prediction model and impedance values calculated by the predetermined PCB layout simulation software, to enable acceptance of that combination.
    Type: Grant
    Filed: January 9, 2020
    Date of Patent: March 2, 2021
    Assignee: HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD.
    Inventors: Kuang-Hui Ma, Kai-Hsun Hsueh, Shang-Yi Lin
  • Patent number: 10929584
    Abstract: Environmental modification testing with a formal verification is implemented for language-specified hardware designs. A language-specified hardware design may be received. A reference copy of the language-specified hardware design may be created. A formal verification may be performed on both the language-specified hardware design and the reference copy with a same input data. Different environmental assumptions for processing the same input data through the reference copy and the language-specified hardware design may be applied. An output value of the language-specified hardware design may be compared with an output value of the reference copy to determine whether those output values match. Error indications may be provided based on a result of the comparison.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: February 23, 2021
    Assignee: Amazon Technologies, Inc.
    Inventors: Benzi Denkberg, Uri Leder, Ori Weber
  • Patent number: 10922461
    Abstract: A method for designing a system on a target device includes performing register retiming on an original design for the system to generate a retimed design. The retimed design is verified to determine whether it is structurally correct by performing a plurality of iterations of register retiming on the retimed design, wherein each iteration accounts for the register retiming of registers in the system driven by a different clock.
    Type: Grant
    Filed: October 3, 2018
    Date of Patent: February 16, 2021
    Assignee: Intel Corporation
    Inventors: Mahesh A. Iyer, Vasudeva M. Kamath
  • Patent number: 10923961
    Abstract: A control method of a wireless power receiver is provided.
    Type: Grant
    Filed: October 8, 2019
    Date of Patent: February 16, 2021
    Inventors: Kyung-Woo Lee, Hyuk-Choon Kwon, Kang-Ho Byun, Hee-Won Jung
  • Patent number: 10915688
    Abstract: Disclosed is an IC layout design method capable of improving a result of an integrated circuit (IC) layout design process including a front-end process and a back-end process. The IC layout design method includes the following steps: executing the front-end process according to an initial clock latency setting and thereby generating an initial netlist; executing at least a part of the back-end process according to the initial netlist and thereby obtaining an updated clock latency setting; executing at least a part of the front-end process according to the updated clock latency setting and thereby generating an updated netlist; and executing the back-end process according to the updated netlist and thereby obtaining the result of the IC layout design process.
    Type: Grant
    Filed: February 26, 2020
    Date of Patent: February 9, 2021
    Assignee: REALTEK SEMICONDUCTOR CORPORATION
    Inventors: Shu-Yu Chang, Shih-Jung Hsu, Han-Chieh Hsieh, Yu-Cheng Lo, Cheng-Yu Tsai