Patents by Inventor Abhijit B. Mallick

Abhijit B. Mallick has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240105509
    Abstract: Embodiments of the present disclosure are provide a method for fabricating a semiconductor device with fewer via voids (e.g., gaps between a dielectric layer and a metal fill of the semiconductor device). One such technique involves forming a dielectric layer, wherein at least a portion of the dielectric layer comprises a nonstoichiometric compound; forming one or more openings in the dielectric layer; filling the one or more openings with a metal, wherein the metal is disposed on a surface of each of the one or more openings; and exposing the dielectric layer and metal disposed in the openings to an oxidizing atmosphere, wherein exposing the dielectric layer and metal in the openings causes oxidation of the nonstoichiometric compound.
    Type: Application
    Filed: September 21, 2023
    Publication date: March 28, 2024
    Inventors: Nicolas Louis BREIL, Abhijit B. MALLICK, Balasubramanian PRANATHARTHIHARAN
  • Publication number: 20240105505
    Abstract: Embodiments of the present disclosure provide techniques for fabricating a semiconductor device with fewer via voids (e.g., gaps between a dielectric layer and a metal fill of the semiconductor device). One such technique involves forming a dielectric layer over a surface of a substrate, forming one or more openings in the dielectric layer, filling the one or more openings with a metal wherein the metal is disposed on a surface of each of the one or more openings, and implanting an oxygen containing species into the dielectric layer to provide a dose of the oxygen containing species to the surface of each of the one or more openings and the metal disposed thereon.
    Type: Application
    Filed: September 21, 2023
    Publication date: March 28, 2024
    Inventors: Nicolas Louis BREIL, Abhijit B. MALLICK, Balasubramanian PRANATHARTHIHARAN
  • Publication number: 20240090213
    Abstract: A method of forming a semiconductor memory device includes simultaneously filling a top portion of a first high aspect ratio (HAR) structure and a top portion a second HAR structure with a silicon-containing sacrificial layer by a cycle of a deposition process and an etch process, wherein the first HAR structure has a critical dimension (CD) of between 150 nm and 250 nm, and the second HAR structure has a CD of between 250 nm and 400 nm.
    Type: Application
    Filed: August 28, 2023
    Publication date: March 14, 2024
    Inventors: Jialiang WANG, Soonil LEE, Eswaranand VENKATASUBRAMANIAN, Chang Seok KANG, Sanjay G. KAMATH, Abhijit B. MALLICK, Srinivas GUGGILLA, Amy CHILD, Sung-Kwan KANG, Balasubramanian PRANATHARTHIHARAN
  • Publication number: 20240087894
    Abstract: Embodiments of the present disclosure generally relate to deposition of high transparency, high-density carbon films for patterning applications. In one embodiment, a method of forming a carbon film on a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a temperature of about ?10° C. to about 20° C. and a chamber pressure of about 0.5 mTorr to about 10 Torr, and generating a plasma by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film containing about 60% or greater hybridized sp3 atoms on the substrate, wherein the first RF bias is provided at a power of about 1800 Watts to about 2200 Watts and at a frequency of about 40 MHz to about 162 MHz.
    Type: Application
    Filed: November 13, 2023
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand VENKATASUBRAMANIAN, Samuel E. GOTTHEIM, Pramit MANNA, Abhijit B. MALLICK
  • Publication number: 20240026527
    Abstract: A method of forming a high aspect ratio structure within a 3D NAND structure is provided. The method includes delivering a precursor to a high aspect ratio opening disposed within a multilayer stack having two or more alternating layers. The precursor is selected from the group consisting of a diaminosilane, an aminosilane, and a combination thereof. The method includes delivering an oxygen-containing compound to the high aspect ratio opening. The precursor and the oxygen-containing compound are alternated cyclically to fill the high aspect ratio opening.
    Type: Application
    Filed: July 20, 2023
    Publication date: January 25, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Geetika BAJAJ, Supriya GHOSH, Susmit Singha ROY, Darshan THAKARE, Gopi Chandran RAMACHANDRAN, Bhaskar Jyoti BHUYAN, Abhijit B. MALLICK
  • Publication number: 20230335402
    Abstract: A method of processing a substrate is provided including flowing a deposition gas comprising a hydrocarbon compound and a dopant compound into a process volume having a substrate disposed positioned on a substrate support. The process volume is maintained at a pressure of about 0.5 mTorr to about 10 mTorr. The method includes generating a plasma at the substrate by applying a first RF bias to the substrate support to deposit a doped diamond-like carbon film on the substrate. The doped diamond-like carbon film includes about 5 at. % to about 25 at. % of dopant and a first stress property. The method includes annealing the doped diamond-like carbon film at about 220° C. to about 450° C. to form an annealed film. The annealed film includes a second stress property. The second stress property having an absolute value less than or within 10% the first stress property.
    Type: Application
    Filed: April 15, 2022
    Publication date: October 19, 2023
    Inventors: Eswaranand Venkatasubramanian, Rajaram Narayanan, Pramit Manna, Abhijit B. Mallick, Karthik Janakiraman, Jialiang Wang
  • Publication number: 20230220551
    Abstract: Embodiments of the present disclosure relate to methods for depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, using a plasma-enhanced chemical vapor deposition (PECVD) process. In particular, the methods described herein utilize a combination of RF AC power and pulsed DC power to create a plasma which deposits an amorphous carbon layer with a high ratio of sp3 (diamond-like) carbon to sp2 (graphite-like) carbon. The methods also provide for lower processing pressures, lower processing temperatures, and higher processing powers, each of which, alone or in combination, may further increase the relative fraction of sp3 carbon in the deposited amorphous carbon layer. As a result of the higher sp3 carbon fraction, the methods described herein provide amorphous carbon layers having improved density, rigidity, etch selectivity, and film stress as compared to amorphous carbon layers deposited by conventional methods.
    Type: Application
    Filed: March 9, 2023
    Publication date: July 13, 2023
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand VENKATASUBRAMANIAN, Yang YANG, Pramit MANNA, Kartik RAMASWAMY, Takehito KOSHIZAWA, Abhijit B. MALLICK
  • Publication number: 20230139431
    Abstract: Embodiments described herein provide magnetic and electromagnetic housing systems and a method for controlling the properties of plasma generated in a process volume of a process chamber to affect deposition properties of a film. In one embodiment, the method includes rotation of the rotational magnetic housing about a center axis of the process volume to create dynamic magnetic fields. The magnetic fields modify the shape of the plasma, concentration of ions and radicals, and movement of concentration of ions and radicals to control the density profile of the plasma. Controlling the density profile of the plasma tunes the uniformity and properties of a deposited or etched film.
    Type: Application
    Filed: December 27, 2022
    Publication date: May 4, 2023
    Applicant: Applied Materials, Inc.
    Inventors: Samuel E. GOTTHEIM, Abhijit B. MALLICK, Pramit MANNA, Eswaranand VENKATASUBRAMANIAN, Timothy Joseph FRANKLIN, Edward HAYWOOD, Stephen C. GARNER, Adam FISCHBACH
  • Publication number: 20230115004
    Abstract: Embodiments of the present disclosure generally relate to a multilayer stack used as a mask in extreme ultraviolet (EUV) lithography and methods for forming a multilayer stack. In one embodiment, the method includes forming a carbon layer over a film stack, forming a metal rich oxide layer on the carbon layer by a physical vapor deposition (PVD) process, forming a metal oxide photoresist layer on the metal rich oxide layer, and patterning the metal oxide photoresist layer. The metal oxide photoresist layer is different from the metal rich oxide layer and is formed by a process different from the PVD process. The metal rich oxide layer formed by the PVD process improves adhesion of the metal oxide photoresist layer and increases the secondary electrons during EUV lithography, which leads to decreased EUV dose energies.
    Type: Application
    Filed: December 14, 2022
    Publication date: April 13, 2023
    Inventors: Tejinder SINGH, Lifan YAN, Abhijit B. MALLICK, Daniel Lee DIEHL, Ho-yung HWANG, Jothilingam RAMALINGAM
  • Patent number: 11603591
    Abstract: Methods for depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, use a plasma-enhanced chemical vapor deposition (PECVD) process. In particular, the methods utilize a combination of RF AC power and pulsed DC power to create a plasma which deposits an amorphous carbon layer with a high ratio of sp3 (diamond-like) carbon to sp2 (graphite-like) carbon. The methods also provide for lower processing pressures, lower processing temperatures, and higher processing powers, each of which, alone or in combination, may further increase the relative fraction of sp3 carbon in the deposited amorphous carbon layer. As a result of the higher sp3 carbon fraction, the methods provide amorphous carbon layers having improved density, rigidity, etch selectivity, and film stress as compared to amorphous carbon layers deposited by conventional methods.
    Type: Grant
    Filed: October 16, 2018
    Date of Patent: March 14, 2023
    Assignee: Applied Materials Inc.
    Inventors: Eswaranand Venkatasubramanian, Yang Yang, Pramit Manna, Kartik Ramaswamy, Takehito Koshizawa, Abhijit B. Mallick
  • Patent number: 11560626
    Abstract: Embodiments of the present disclosure generally relate to apparatus and methods utilized in the manufacture of semiconductor devices. More particularly, embodiments of the present disclosure relate to a substrate processing chamber, and components thereof, for forming semiconductor devices.
    Type: Grant
    Filed: May 18, 2020
    Date of Patent: January 24, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Timothy Joseph Franklin, Adam Fischbach, Edward Haywood, Abhijit B. Mallick, Pramit Manna, Carlaton Wong, Stephen C. Garner, Eswaranand Venkatasubramanian
  • Patent number: 11557466
    Abstract: Embodiments described herein provide magnetic and electromagnetic housing systems and a method for controlling the properties of plasma generated in a process volume of a process chamber to affect deposition properties of a film. In one embodiment, the method includes rotation of the rotational magnetic housing about a center axis of the process volume to create dynamic magnetic fields. The magnetic fields modify the shape of the plasma, concentration of ions and radicals, and movement of concentration of ions and radicals to control the density profile of the plasma. Controlling the density profile of the plasma tunes the uniformity and properties of a deposited or etched film.
    Type: Grant
    Filed: August 14, 2020
    Date of Patent: January 17, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Samuel E. Gottheim, Abhijit B. Mallick, Pramit Manna, Eswaranand Venkatasubramanian, Timothy Joseph Franklin, Edward Haywood, Stephen C. Garner, Adam Fischbach
  • Patent number: 11557478
    Abstract: Embodiments of the present disclosure generally relate to techniques for deposition of high-density films for patterning applications. In one embodiment, a method of processing a substrate is provided. The method includes depositing a carbon hardmask over a film stack formed on a substrate, wherein the substrate is positioned on an electrostatic chuck disposed in a process chamber, implanting ions into the carbon hardmask, wherein depositing the carbon hardmask and implanting ions into the carbon hardmask are performed in the same process chamber, and repeating depositing the carbon hardmask and implanting ions into the carbon hardmask in a cyclic fashion until a pre-determined thickness of the carbon hardmask is reached.
    Type: Grant
    Filed: October 14, 2021
    Date of Patent: January 17, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Pramit Manna, Abhijit B. Mallick, Srinivas Gandikota
  • Publication number: 20230010568
    Abstract: Methods and apparatus for processing a substrate are provided herein. For example, a method of processing a substrate comprises a) removing oxide from a metal layer disposed in a dielectric layer on the substrate disposed in a processing chamber, b) selectively depositing a self-assembled monolayer (SAM) on the metal layer using atomic layer deposition, c) depositing a precursor while supplying water to form one of an aluminum oxide (AlO) layer on the dielectric layer or a low-k dielectric layer on the dielectric layer, d) supplying at least one of hydrogen (H2) or ammonia (NH3) to remove the self-assembled monolayer (SAM), and e) depositing one of a silicon oxycarbonitride (SiOCN) layer or a silicon nitride (SiN) layer atop the metal layer and the one of the aluminum oxide (AlO) layer on the dielectric layer or the low-k dielectric layer on the dielectric layer.
    Type: Application
    Filed: April 13, 2022
    Publication date: January 12, 2023
    Inventors: Suketu PARIKH, Mihaela A. BALSEANU, Bhaskar Jyoti BHUYAN, Ning LI, Mark Joseph SALY, Aaron Michael DANGERFIELD, David THOMPSON, Abhijit B. MALLICK
  • Patent number: 11550222
    Abstract: Embodiments of the present disclosure generally relate to a multilayer stack used as a mask in extreme ultraviolet (EUV) lithography and methods for forming a multilayer stack. In one embodiment, the method includes forming a carbon layer over a film stack, forming a metal rich oxide layer on the carbon layer by a physical vapor deposition (PVD) process, forming a metal oxide photoresist layer on the metal rich oxide layer, and patterning the metal oxide photoresist layer. The metal oxide photoresist layer is different from the metal rich oxide layer and is formed by a process different from the PVD process. The metal rich oxide layer formed by the PVD process improves adhesion of the metal oxide photoresist layer and increases the secondary electrons during EUV lithography, which leads to decreased EUV dose energies.
    Type: Grant
    Filed: June 2, 2020
    Date of Patent: January 10, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tejinder Singh, Lifan Yan, Abhijit B. Mallick, Daniel Lee Diehl, Ho-yung Hwang, Jothilingam Ramalingam
  • Publication number: 20220406594
    Abstract: Embodiments of the present disclosure generally relate to processes for forming silicon- and boron-containing films for use in, e.g., spacer-defined patterning applications. In an embodiment, a spacer-defined patterning process is provided. The process includes disposing a substrate in a processing volume of a processing chamber, the substrate having patterned features formed thereon, and flowing a first process gas into the processing volume, the first process gas comprising a silicon-containing species, the silicon-containing species having a higher molecular weight than SiH4. The process further includes flowing a second process gas into the processing volume, the second process gas comprising a boron-containing species, and depositing, under deposition conditions, a conformal film on the patterned features, the conformal film comprising silicon and boron.
    Type: Application
    Filed: June 18, 2021
    Publication date: December 22, 2022
    Inventors: Aykut AYDIN, Rui CHENG, Karthik JANAKIRAMAN, Abhijit B. MALLICK, Takehito KOSHIZAWA, Bo QI
  • Publication number: 20220351982
    Abstract: Embodiments of the present disclosure generally relate to fabricating electronic devices, such as memory devices. In one or more embodiments, a method for forming a device includes forming a film stack on a substrate, where the film stack contains a plurality of alternating layers of oxide layers and nitride layers and has a stack thickness, and etching the film stack to a first depth to form a plurality of openings between a plurality of structures. The method includes depositing an etch protection liner containing amorphous-silicon on the sidewalls and the bottoms of the structures, removing the etch protection liner from at least the bottoms of the openings, forming a plurality of holes by etching the film stack in the openings to further extend each bottom of the openings to a second depth of the hole, and removing the etch protection liner from the sidewalls.
    Type: Application
    Filed: April 30, 2021
    Publication date: November 3, 2022
    Inventors: Zeqing SHEN, Bo QI, Abhijit B. MALLICK
  • Patent number: 11270905
    Abstract: Embodiments of the present disclosure generally relate to a substrate processing chamber, and components thereof, for forming semiconductor devices. The processing chamber comprises a substrate support, and an edge ring is disposed around the substrate support. The edge ring comprises a material selected from the group consisting of quartz, silicon, cross-linked polystyrene and divinylbenzene, polyether ether ketone, Al2O3, and AlN. The material of the edge ring is selected to modulate the properties of hardmask films deposited on substrates in the processing chamber. As such, hardmask films having desired film properties can be deposited in the processing chamber without scaling up the RF power to the chamber.
    Type: Grant
    Filed: June 26, 2020
    Date of Patent: March 8, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Edward L. Haywood, Samuel E. Gottheim, Pramit Manna, Kien N. Chuc, Adam Fischbach, Abhijit B. Mallick, Timothy J. Franklin
  • Publication number: 20220037154
    Abstract: Embodiments of the present disclosure generally relate to techniques for deposition of high-density films for patterning applications. In one embodiment, a method of processing a substrate is provided. The method includes depositing a carbon hardmask over a film stack formed on a substrate, wherein the substrate is positioned on an electrostatic chuck disposed in a process chamber, implanting ions into the carbon hardmask, wherein depositing the carbon hardmask and implanting ions into the carbon hardmask are performed in the same process chamber, and repeating depositing the carbon hardmask and implanting ions into the carbon hardmask in a cyclic fashion until a pre-determined thickness of the carbon hardmask is reached.
    Type: Application
    Filed: October 14, 2021
    Publication date: February 3, 2022
    Inventors: Eswaranand VENKATASUBRAMANIAN, Pramit MANNA, Abhijit B. MALLICK, Srinivas GANDIKOTA
  • Patent number: 11217443
    Abstract: Embodiments disclosed herein include methods of forming high quality silicon nitride films. In an embodiment, a method of depositing a film on a substrate may comprise forming a silicon nitride film over a surface of the substrate in a first processing volume with a deposition process, and treating the silicon nitride film in a second processing volume, wherein treating the silicon nitride film comprises exposing the film to a plasma induced by a modular high-frequency plasma source. In an embodiment, a sheath potential of the plasma is less than 100 V, and a power density of the high-frequency plasma source is approximately 5 W/cm2 or greater, approximately 10 W/cm2 or greater, or approximately 20 W/cm2 or greater.
    Type: Grant
    Filed: November 6, 2019
    Date of Patent: January 4, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Vinayak Veer Vats, Hang Yu, Philip Allan Kraus, Sanjay G. Kamath, William John Durand, Lakmal Charidu Kalutarage, Abhijit B. Mallick, Changling Li, Deenesh Padhi, Mark Joseph Saly, Thai Cheng Chua, Mihaela A. Balseanu