Patents by Inventor Abhijit B. Mallick

Abhijit B. Mallick has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11217443
    Abstract: Embodiments disclosed herein include methods of forming high quality silicon nitride films. In an embodiment, a method of depositing a film on a substrate may comprise forming a silicon nitride film over a surface of the substrate in a first processing volume with a deposition process, and treating the silicon nitride film in a second processing volume, wherein treating the silicon nitride film comprises exposing the film to a plasma induced by a modular high-frequency plasma source. In an embodiment, a sheath potential of the plasma is less than 100 V, and a power density of the high-frequency plasma source is approximately 5 W/cm2 or greater, approximately 10 W/cm2 or greater, or approximately 20 W/cm2 or greater.
    Type: Grant
    Filed: November 6, 2019
    Date of Patent: January 4, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Vinayak Veer Vats, Hang Yu, Philip Allan Kraus, Sanjay G. Kamath, William John Durand, Lakmal Charidu Kalutarage, Abhijit B. Mallick, Changling Li, Deenesh Padhi, Mark Joseph Saly, Thai Cheng Chua, Mihaela A. Balseanu
  • Publication number: 20210384015
    Abstract: Embodiments of the present disclosure generally relate to clean methods for processing chambers, and more specifically relate to plasma clean methods for removing carbon films from surfaces within the processing chamber. A method for cleaning includes introducing a cleaning gas into a processing region within a processing chamber, where interior surfaces of the processing chamber have a coating containing amorphous carbon. The cleaning gas contains oxygen gas and a noble gas. The method also includes generating an ion coupled plasma (ICP) from the cleaning gas within an upper portion of the processing region and generating a bias across a substrate support in a lower portion of the processing region. The method further includes exposing the amorphous carbon to atomic oxygen ions produced from the oxygen gas and the ICP and removing the amorphous carbon from the interior surfaces with the atomic oxygen ions during a cleaning process.
    Type: Application
    Filed: June 9, 2020
    Publication date: December 9, 2021
    Inventors: Huiyuan WANG, Rick KUSTRA, Kaushik ALAYAVALLI, Eswaranand VENKATASUBRAMANIAN, Jay D. PINSON, II, Abhijit B. MALLICK
  • Patent number: 11158507
    Abstract: Embodiments of the present disclosure generally relate to techniques for deposition of high-density films for patterning applications. In one embodiment, a method of processing a substrate is provided. The method includes depositing a carbon hardmask over a film stack formed on a substrate, wherein the substrate is positioned on an electrostatic chuck disposed in a process chamber, implanting ions into the carbon hardmask, wherein depositing the carbon hardmask and implanting ions into the carbon hardmask are performed in the same process chamber, and repeating depositing the carbon hardmask and implanting ions into the carbon hardmask in a cyclic fashion until a pre-determined thickness of the carbon hardmask is reached.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: October 26, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eswaranand Venkatasubramanian, Pramit Manna, Abhijit B. Mallick, Srinivas Gandikota
  • Patent number: 11011371
    Abstract: Embodiments disclosed herein relate to methods for forming memory devices, and more specifically to improved methods for forming a dielectric encapsulation layer over a memory material in a memory device. In one embodiment, the method includes thermally depositing a first material over a memory material at a temperature less than the temperature of the thermal budget of the memory material, exposing the first material to nitrogen plasma to incorporate nitrogen in the first material, and repeating the thermal deposition and nitrogen plasma operations to form a hermetic, conformal dielectric encapsulation layer over the memory material. Thus, a memory device having a hermetic, conformal dielectric encapsulation layer over the memory material is formed.
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: May 18, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Milind Gadre, Shaunak Mukherjee, Praket P. Jha, Deenesh Padhi, Ziqing Duan, Abhijit B. Mallick
  • Publication number: 20210050189
    Abstract: Embodiments described herein provide magnetic and electromagnetic housing systems and a method for controlling the properties of plasma generated in a process volume of a process chamber to affect deposition properties of a film. In one embodiment, the method includes rotation of the rotational magnetic housing about a center axis of the process volume to create dynamic magnetic fields. The magnetic fields modify the shape of the plasma, concentration of ions and radicals, and movement of concentration of ions and radicals to control the density profile of the plasma. Controlling the density profile of the plasma tunes the uniformity and properties of a deposited or etched film.
    Type: Application
    Filed: August 14, 2020
    Publication date: February 18, 2021
    Inventors: Samuel E. GOTTHEIM, Abhijit B. Mallick, Pramit Manna, Eswaranand Venkatasubramanian, Timothy Joseph Franklin, Edward Haywood, Stephen C. Garner
  • Publication number: 20210040618
    Abstract: Embodiments of the present disclosure relate to methods for depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, using a plasma-enhanced chemical vapor deposition (PECVD) process, in particular, the methods described herein utilize a combination of RF AC power and pulsed DC power to create a plasma which deposits an amorphous carbon layer with power to create a plasma which deposits an amorphour carbon layer with a high ratio of sp3 (diamond-like) carbon to sp2 (graphite-like) carbon. The methods also provide for lower processing pressures, lower processing temperatures, and higher processing powers, each of which, alone or in combination, may further increase the relative fraction of sp3 carbon in the deposited amorphous carbon layer.
    Type: Application
    Filed: October 16, 2018
    Publication date: February 11, 2021
    Inventors: Eswaranand VENKATASUBRAMANIAN, Yang YANG, Pramit MANNA, Kartik RAMASWAMY, Takehito KOSHIZAWA, Abhijit B. MALLICK
  • Publication number: 20210033974
    Abstract: Embodiments of the present disclosure generally relate to a multilayer stack used as a mask in extreme ultraviolet (EUV) lithography and methods for forming a multilayer stack. In one embodiment, the method includes forming a carbon layer over a film stack, forming a metal rich oxide layer on the carbon layer by a physical vapor deposition (PVD) process, forming a metal oxide photoresist layer on the metal rich oxide layer, and patterning the metal oxide photoresist layer. The metal oxide photoresist layer is different from the metal rich oxide layer and is formed by a process different from the PVD process. The metal rich oxide layer formed by the PVD process improves adhesion of the metal oxide photoresist layer and increases the secondary electrons during EUV lithography, which leads to decreased EUV dose energies.
    Type: Application
    Filed: June 2, 2020
    Publication date: February 4, 2021
    Inventors: Tejinder SINGH, Lifan YAN, Abhijit B. MALLICK, Daniel Lee DIEHL, Ho-yung HWANG, Jothilingam RAMALINGAM
  • Publication number: 20210005500
    Abstract: Embodiments of the present disclosure generally relate to a substrate processing chamber, and components thereof, for forming semiconductor devices. The processing chamber comprises a substrate support, and an edge ring is disposed around the substrate support. The edge ring comprises a material selected from the group consisting of quartz, silicon, cross-linked polystyrene and divinylbenzene, polyether ether ketone, Al2O3, and AlN. The material of the edge ring is selected to modulate the properties of hardmask films deposited on substrates in the processing chamber. As such, hardmask films having desired film properties can be deposited in the processing chamber without scaling up the RF power to the chamber.
    Type: Application
    Filed: June 26, 2020
    Publication date: January 7, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand VENKATASUBRAMANIAN, Edward L. HAYWOOD, Samuel E. GOTTHEIM, Pramit MANNA, Kien N. CHUC, Adam FISCHBACH, Abhijit B. MALLICK, Timothy J. FRANKLIN
  • Publication number: 20200381623
    Abstract: Embodiments described herein generally relate to methods of processing a substrate comprising positioning a substrate in a processing volume of a processing chamber. The substrate includes a patterned surface having a plurality of features. Individual ones of the plurality of features are defined by one or more openings formed through a multi-layer stack, and the multi-layer stack includes a chalcogen containing material. The methods further include flowing pulses of a first processing gas into the processing volume. Herein, the first processing gas includes a silicon precursor and a nitrogen precursor. The methods further include igniting and maintaining a plasma of the first processing gas. The methods further include depositing a first silicon nitride layer onto the patterned surface of the substrate. Furthermore, the methods include depositing of a second silicon nitride layer on the first silicon nitride layer.
    Type: Application
    Filed: March 10, 2020
    Publication date: December 3, 2020
    Inventors: Bo QI, Abhijit B. MALLICK
  • Publication number: 20200370177
    Abstract: Embodiments of the present disclosure generally relate to apparatus and methods utilized in the manufacture of semiconductor devices. More particularly, embodiments of the present disclosure relate to a substrate processing chamber, and components thereof, for forming semiconductor devices.
    Type: Application
    Filed: May 18, 2020
    Publication date: November 26, 2020
    Inventors: Timothy Joseph FRANKLIN, Adam FISCHBACH, Edward HAYWOOD, Abhijit B. MALLICK, Pramit MANNA, Carlaton WONG, Stephen C. GARNER, Eswaranand VENKATASUBRAMANIAN
  • Publication number: 20200216959
    Abstract: Embodiments of the present disclosure generally relate to methods of depositing a conformal layer on surfaces of high aspect ratio structures and related apparatuses for performing these methods. The conformal layers described herein are formed using PECVD methods in which a semiconductor device including a plurality of high aspect ratio features is disposed on a substrate support in a process volume of a process chamber, gases are supplied to the process volume, and a plasma is generated in the process volume by pulsing RF power coupled to the process gases disposed in the process volume of the process chamber.
    Type: Application
    Filed: August 20, 2018
    Publication date: July 9, 2020
    Applicant: Applied Materials, Inc.
    Inventors: Shaunak MUKHERJEE, Abhijit B. MALLICK
  • Publication number: 20200176241
    Abstract: Embodiments disclosed herein include methods of forming high quality silicon nitride films. In an embodiment, a method of depositing a film on a substrate may comprise forming a silicon nitride film over a surface of the substrate in a first processing volume with a deposition process, and treating the silicon nitride film in a second processing volume, wherein treating the silicon nitride film comprises exposing the film to a plasma induced by a modular high-frequency plasma source. In an embodiment, a sheath potential of the plasma is less than 100 V, and a power density of the high-frequency plasma source is approximately 5 W/cm2 or greater, approximately 10 W/cm2 or greater, or approximately 20 W/cm2 or greater.
    Type: Application
    Filed: November 6, 2019
    Publication date: June 4, 2020
    Inventors: Vinayak Veer Vats, Hang Yu, Philip Allan Kraus, Sanjay G. Kamath, William John Durand, Lakmal Charidu Kalutarage, Abhijit B. Mallick, Changling Li, Deenesh Padhi, Mark Joseph Saly, Thai Cheng Chua, Mihaela A. Balseanu
  • Publication number: 20190393034
    Abstract: Embodiments of the present disclosure generally relate to techniques for deposition of high-density films for patterning applications. In one embodiment, a method of processing a substrate is provided. The method includes depositing a carbon hardmask over a film stack formed on a substrate, wherein the substrate is positioned on an electrostatic chuck disposed in a process chamber, implanting ions into the carbon hardmask, wherein depositing the carbon hardmask and implanting ions into the carbon hardmask are performed in the same process chamber, and repeating depositing the carbon hardmask and implanting ions into the carbon hardmask in a cyclic fashion until a pre-determined thickness of the carbon hardmask is reached.
    Type: Application
    Filed: June 3, 2019
    Publication date: December 26, 2019
    Inventors: Eswaranand VENKATASUBRAMANIAN, Pramit MANNA, Abhijit B. MALLICK, Srinivas GANDIKOTA
  • Publication number: 20190326110
    Abstract: Embodiments disclosed herein relate to methods for forming memory devices, and more specifically to improved methods for forming a dielectric encapsulation layer over a memory material in a memory device. In one embodiment, the method includes thermally depositing a first material over a memory material at a temperature less than the temperature of the thermal budget of the memory material, exposing the first material to nitrogen plasma to incorporate nitrogen in the first material, and repeating the thermal deposition and nitrogen plasma operations to form a hermetic, conformal dielectric encapsulation layer over the memory material. Thus, a memory device having a hermetic, conformal dielectric encapsulation layer over the memory material is formed.
    Type: Application
    Filed: November 16, 2017
    Publication date: October 24, 2019
    Inventors: Milind GADRE, Shaunak MUKHERJEE, Praket P. JHA, Deenesh PADHI, Ziqing DUAN, Abhijit B. MALLICK
  • Publication number: 20140302690
    Abstract: Methods forming a low-? dielectric material on a substrate are described. The methods may include the steps of producing a radical precursor by flowing an unexcited precursor into a remote plasma region, and reacting the radical precursor with a gas-phase silicon precursor to deposit a flowable film on the substrate. The gas-phase silicon precursor may include at least one silicon-and-oxygen containing compound and at least one silicon-and-carbon linker. The flowable film may be cured to form the low-? dielectric material.
    Type: Application
    Filed: September 6, 2013
    Publication date: October 9, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Brian S. Underwood, Abhijit B. Mallick, Nitin K. Ingle