Patents by Inventor Abhijit Basu Mallick

Abhijit Basu Mallick has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20210404056
    Abstract: Methods for selectively forming a transition metal dichalcogenide (TMDC) film comprise exposing a substrate comprising a silicon oxide-based surface and a tungsten (W) segment to a sulfur source to selectively form the transition metal dichalcogenide film with the tungsten segment relative to the silicon oxide-based surface. Chemical vapor deposition (CVD) at a temperature in a range of 350° C. to 600° C. is used to form the TMDC film. CVD may be conducted by low pressure CVD (LPCVD) or atmospheric pressure CVD (APCVD). Methods of making devices incorporating the TMDC films are also provided.
    Type: Application
    Filed: June 26, 2020
    Publication date: December 30, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Susmit Singha Roy, Abhijit Basu Mallick
  • Publication number: 20210407801
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the embodiments described herein provide techniques for depositing high-density films for patterning applications. In one or more embodiments, a method of processing a substrate is provided and includes flowing a deposition gas containing a hydrocarbon compound and a dopant compound into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck, where the processing volume is maintained at a pressure of about 0.5 mTorr to about 10 Torr. The method also includes generating a plasma at the substrate by applying a first RF bias to the electrostatic chuck to deposit a doped diamond-like carbon film on the substrate, where the doped diamond-like carbon film has a density of greater than 2 g/cc and a stress of less than ?500 MPa.
    Type: Application
    Filed: June 29, 2020
    Publication date: December 30, 2021
    Inventors: Eswaranand VENKATASUBRAMANIAN, Pramit MANNA, Abhijit Basu MALLICK
  • Publication number: 20210404046
    Abstract: Methods of processing thin film by oxidation at high pressure are described. The methods are generally performed at pressures greater than 2 bar. The methods can be performed at lower temperatures and have shorter exposure times than similar methods performed at lower pressures. Some methods relate to oxidizing tungsten films to form self-aligned pillars.
    Type: Application
    Filed: September 13, 2021
    Publication date: December 30, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Amrita B. Mullick, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20210358744
    Abstract: A microelectronic device on a semiconductor substrate comprises: a gate electrode; and a spacer adjacent to the gate electrode, the spacer comprising: a the low-k dielectric film comprising one or more species of vanadium oxide, which is optionally doped, and an optional silicon nitride or oxide film. Methods comprise depositing a low-k dielectric film optionally sandwiched by a silicon nitride or oxide film to form a spacer adjacent to a gate electrode of a microelectronic device on a semiconductor substrate, wherein the low-k dielectric film comprises a vanadium-containing film.
    Type: Application
    Filed: August 2, 2021
    Publication date: November 18, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Srinivas Gandikota, Kelvin Chan, Atashi Basu, Abhijit Basu Mallick
  • Patent number: 11177174
    Abstract: Methods of depositing a carbon film are discussed. Some embodiments selectively deposit a carbon film on a metal surface over a dielectric surface. Some embodiments form carbon pillars on metal surfaces selectively over dielectric surfaces. Some embodiments utilize carbon pillars in forming self-aligned vias.
    Type: Grant
    Filed: November 21, 2019
    Date of Patent: November 16, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Susmit Singha Roy, Abhijit Basu Mallick
  • Patent number: 11177164
    Abstract: Processing methods to form self-aligned high aspect ratio features are described. The methods comprise depositing a metal film on a structured substrate, volumetrically expanding the metal film, depositing a second film between the expanded pillars and optionally recessing the pillars and repeating the process to form the high aspect ratio features.
    Type: Grant
    Filed: August 6, 2018
    Date of Patent: November 16, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Susmit Singha Roy, Praburam Gopalraja, Abhijit Basu Mallick, Srinivas Gandikota
  • Patent number: 11177128
    Abstract: Methods for forming a semiconductor structure including a silicon (Si) containing layer or a silicon germanium (SiGe) layer are provided. The methods include depositing a protective barrier (e.g., liner) layer over the semiconductor structure, forming a flowable dielectric layer over the liner layer, and exposing the flowable dielectric layer to high pressure steam. A cluster system includes a first deposition chamber configured to form a semiconductor structure, a second deposition chamber configured to perform a liner deposition process to form a liner layer, a third deposition chamber configured to form a flowable dielectric layer over the liner layer, an annealing chamber configured to expose the flowable oxide layer to high pressure steam.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: November 16, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Pramit Manna, Abhijit Basu Mallick, Kurtis Leschkies, Steven Verhaverbeke, Shishi Jiang
  • Publication number: 20210351035
    Abstract: Methods for selective silicon film deposition on a substrate comprising a first surface and a second surface are described. More specifically, the process of depositing a film, treating the film to change some film property and selectively etching the film from various surfaces of the substrate are described. The deposition, treatment and etching can be repeated to selectively deposit a film on one of the two substrate surfaces.
    Type: Application
    Filed: July 19, 2021
    Publication date: November 11, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Rui Cheng, Fei Wang, Abhijit Basu Mallick, Robert Jan Visser
  • Publication number: 20210327752
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high quality gapfill. Some embodiments utilize chemical vapor deposition, plasma vapor deposition, physical vapor deposition and combinations thereof to deposit the gapfill. The gapfill is of high quality and similar in properties to similarly composed bulk materials.
    Type: Application
    Filed: June 29, 2021
    Publication date: October 21, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Samuel E. Gottheim, Eswaranand Venkatasubramanian, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20210327891
    Abstract: Memory devices and methods of manufacturing memory devices are provided. A plasma enhanced chemical vapor deposition (PECVD) method to form a memory cell film stack having more than 50 layers as an alternative for 3D-NAND cells is described. The memory stack comprises alternating layers of a first material layer and a second material layer.
    Type: Application
    Filed: April 6, 2021
    Publication date: October 21, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Takehito Koshizawa, Bo Qi, Abhijit Basu Mallick, Huiyuan Wang, Susmit Singha Roy
  • Publication number: 20210305041
    Abstract: Exemplary methods of semiconductor processing may include providing a boron-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region of the semiconductor processing chamber. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The carbon-containing precursor may be characterized by a carbon-carbon double bond or a carbon-carbon triple bond. The methods may include thermally reacting the boron-containing precursor and the carbon-containing precursor at a temperature below about 650° C. The methods may include forming a boron-and-carbon-containing layer on the substrate.
    Type: Application
    Filed: March 24, 2021
    Publication date: September 30, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Bo Qi, Zeqing Shen, Abhijit Basu Mallick
  • Patent number: 11131015
    Abstract: Methods of processing thin film by oxidation at high pressure are described. The methods are generally performed at pressures greater than 2 bar. The methods can be performed at lower temperatures and have shorter exposure times than similar methods performed at lower pressures. Some methods relate to oxidizing tungsten films to form self-aligned pillars.
    Type: Grant
    Filed: December 19, 2018
    Date of Patent: September 28, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Amrita B. Mullick, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20210254210
    Abstract: Hydrogen free (low-H) silicon dioxide layers are disclosed. Some embodiments provide methods for forming low-H layers using hydrogen-free silicon precursors and hydrogen-free oxygen sources. Some embodiments provide methods for tuning the stress profile of low-H silicon dioxide films. Further, some embodiments of the disclosure provide oxide-nitride stacks which exhibit reduced stack bow after anneal.
    Type: Application
    Filed: February 11, 2021
    Publication date: August 19, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Zeqing Shen, Bo Qi, Abhijit Basu Mallick, Nitin K. Ingle
  • Patent number: 11094544
    Abstract: Processing methods comprising selectively orthogonally growing a first material through a mask to provide an expanded first material are described. The mask can be removed leaving the expanded first material extending orthogonally from the surface of the first material. Further processing can create a self-aligned via.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: August 17, 2021
    Assignee: Applied Materials, Inc.
    Inventors: David Thompson, Benjamin Schmiege, Jeffrey W. Anthis, Abhijit Basu Mallick, Susmit Singha Roy, Ziqing Duan, Yihong Chen, Kelvin Chan, Srinivas Gandikota
  • Patent number: 11094533
    Abstract: A microelectronic device on a semiconductor substrate comprises: a gate electrode; and a spacer adjacent to the gate electrode, the spacer comprising: a the low-k dielectric film comprising one or more species of vanadium oxide, which is optionally doped, and an optional silicon nitride or oxide film. Methods comprise depositing a low-k dielectric film optionally sandwiched by a silicon nitride or oxide film to form a spacer adjacent to a gate electrode of a microelectronic device on a semiconductor substrate, wherein the low-k dielectric film comprises a vanadium-containing film.
    Type: Grant
    Filed: October 2, 2019
    Date of Patent: August 17, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eswaranand Venkatasubramanian, Srinivas Gandikota, Kelvin Chan, Atashi Basu, Abhijit Basu Mallick
  • Patent number: 11081348
    Abstract: Methods for selective silicon film deposition on a substrate comprising a first surface and a second surface are described. More specifically, the process of depositing a film, treating the film to change some film property and selectively etching the film from various surfaces of the substrate are described. The deposition, treatment and etching can be repeated to selectively deposit a film on one of the two substrate surfaces.
    Type: Grant
    Filed: June 6, 2018
    Date of Patent: August 3, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Rui Cheng, Fei Wang, Abhijit Basu Mallick, Robert Jan Visser
  • Patent number: 11066743
    Abstract: Methods of selectively depositing ruthenium are described. The preferred deposition surface changes based on the substrate temperature during processing. At high temperatures, ruthenium is deposited on a first surface of a conductive material over a second surface of an insulating material. At lower temperatures, ruthenium is deposited on an insulating surface over a conducting surface.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: July 20, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yihong Chen, Yong Wu, Srinivas Gandikota, Abhijit Basu Mallick
  • Patent number: 11069568
    Abstract: In one embodiment, a method of forming a barrier layer is provided. The method includes positioning a substrate in a processing chamber, forming a barrier layer over the substrate and in contact with the underlayer, and annealing the substrate. The substrate comprises at least one underlayer having cobalt, tungsten, or copper. The barrier layer has a thickness of less than 70 angstroms.
    Type: Grant
    Filed: February 27, 2020
    Date of Patent: July 20, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Susmit Singha Roy, Yihong Chen, Abhijit Basu Mallick, Srinivas Gandikota
  • Patent number: 11062939
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high quality gapfill. Some embodiments utilize chemical vapor deposition, plasma vapor deposition, physical vapor deposition and combinations thereof to deposit the gapfill. The gapfill is of high quality and similar in properties to similarly composed bulk materials.
    Type: Grant
    Filed: June 19, 2019
    Date of Patent: July 13, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Samuel E. Gottheim, Eswaranand Venkatasubramanian, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20210210339
    Abstract: A method for forming a conformal hermetic silicon nitride film. The method includes using thermal chemical vapor deposition with a polysilane gas to produce an ultra-conformal amorphous silicon film on a substrate, then treating the film with ammonia or nitrogen plasmas to convert the amorphous silicon film to a conformal hermetic silicon nitride. In some embodiments, the amorphous silicon deposition and the plasma treatment are performed in the same processing chamber. In some embodiments, the amorphous silicon deposition and the plasma treatment are repeated until a desired silicon nitride film thickness is reached.
    Type: Application
    Filed: December 20, 2017
    Publication date: July 8, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Pramit MANNA, Rui CHENG, Abhijit Basu MALLICK, Shishi JIANG