Patents by Inventor Angelique Raley

Angelique Raley has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240153773
    Abstract: A method for fabricating a semiconductor device is described that includes forming a base layer over a top layer of a substrate, the base layer includes a silicon based dielectric having a thickness less than or equal to 5 nm and greater than or equal to 0.5 nm; forming a photoresist layer over the base layer, the photoresist including a first side and an opposite second side; exposing a first portion of the photoresist layer to a pattern of extreme ultraviolet (EUV) radiation from the first side; exposing a second portion of the photoresist layer with a pattern of electron flux from the second side, the electron flux being directed into the photoresist layer from the base layer in response to the EUV radiation; developing the exposed photoresist layer to form a patterned photoresist layer; and transferring the pattern of the patterned photoresist layer to the base layer and the top layer.
    Type: Application
    Filed: January 18, 2024
    Publication date: May 9, 2024
    Inventors: Choong-Man Lee, Soo Doo Chae, Angelique Raley, Qiaowei Lou, Toshio Hasegawa, Yoshihiro Kato
  • Patent number: 11978631
    Abstract: A method for forming a device includes forming a hole pattern in a resist layer disposed over a substrate. The substrate includes contact regions disposed over a major surface of the substrate and a dielectric layer disposed over the contact regions. The resist layer is disposed over the dielectric layer and the hole pattern includes through openings in the resist layer that are aligned with the contact regions. The through openings include a first through opening having a first critical dimension and a second through opening having a second critical dimension greater than the first critical dimension. The method includes modifying the hole pattern by depositing a material including silicon within the through openings by exposing the hole pattern to a first plasma generated from a gas mixture including SiCl4 and hydrogen, and then etching holes in the dielectric layer through the modified hole pattern, exposing the contact regions.
    Type: Grant
    Filed: December 9, 2020
    Date of Patent: May 7, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Junling Sun, Katie Lutker-Lee, Angelique Raley, Andrew Metz
  • Patent number: 11915931
    Abstract: A method for fabricating a semiconductor device is described that includes forming a base layer over a top layer of a substrate, the base layer includes a silicon based dielectric having a thickness less than or equal to 5 nm and greater than or equal to 0.5 nm; forming a photoresist layer over the base layer, the photoresist including a first side and an opposite second side; exposing a first portion of the photoresist layer to a pattern of extreme ultraviolet (EUV) radiation from the first side; exposing a second portion of the photoresist layer with a pattern of electron flux from the second side, the electron flux being directed into the photoresist layer from the base layer in response to the EUV radiation; developing the exposed photoresist layer to form a patterned photoresist layer; and transferring the pattern of the patterned photoresist layer to the base layer and the top layer.
    Type: Grant
    Filed: August 19, 2021
    Date of Patent: February 27, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Choong-man Lee, Soo Doo Chae, Angelique Raley, Qiaowei Lou, Toshio Hasegawa, Yoshihiro Kato
  • Patent number: 11882776
    Abstract: Methods are provided herein for improving oxygen content control in a Metal-Insulator-Metal (MIM) stack of an RERAM cell, while also maintaining throughput. More specifically, a single chamber solution is provided herein for etching and encapsulating the MIM stack of an RERAM cell to control the oxygen content in the memory cell dielectric of the RERAM cell. According to one embodiment, a non-oxygen-containing dielectric encapsulation layer is deposited onto the MIM stack in-situ while the substrate remains within the processing chamber used to etch the MIM stack. By etching the MIM stack and depositing the encapsulation layer within the same processing chamber, the techniques described herein minimize the exposure of the memory cell dielectric to oxygen, while maintaining throughput.
    Type: Grant
    Filed: March 24, 2021
    Date of Patent: January 23, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Katie Lutker-Lee, Angelique Raley, Dina Triyoso
  • Patent number: 11837471
    Abstract: A method of forming a semiconductor device includes depositing a first layer over a substrate and patterning the first layer using an extreme ultraviolet (EUV) lithography process to form a patterned layer and expose portions of the substrate. The method includes, in a plasma processing chamber, generating a first plasma from a gas mixture including SiCl4 and one or more of argon, helium, nitrogen, and hydrogen. The method includes exposing the substrate to the first plasma to deposit a second layer including silicon over the patterned layer.
    Type: Grant
    Filed: December 10, 2020
    Date of Patent: December 5, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Katie Lutker-Lee, Jake Kaminsky, Yu-Hao Tsai, Angelique Raley, Mingmei Wang
  • Publication number: 20230369064
    Abstract: A method of processing a substrate that includes: exposing a substrate to a first plasma including carbon, the substrate including a first layer including a dielectric material and a second layer including a metal, the first plasma forming a first carbonaceous deposit over the first layer and a second carbonaceous deposit over the second layer; exposing the first carbonaceous deposit and the second carbonaceous deposit to a second plasma including halogen, the second plasma selectively etching the second carbonaceous deposit relative to the first carbonaceous deposit to expose a surface of the second layer; and exposing the first carbonaceous deposit and the exposed surface of the second layer to the second plasma to selectively etch the second layer relative to the first carbonaceous deposit, the first carbonaceous deposit protecting the first layer from being etched by the second plasma.
    Type: Application
    Filed: May 12, 2022
    Publication date: November 16, 2023
    Inventors: Scott Lefevre, Angelique Raley
  • Publication number: 20230343554
    Abstract: The present disclosure provides various embodiments of plasma processing systems, plasma etch process steps and methods for etching features (e.g., contact holes, vias, trenches, etc.) within one or more material layers formed on a substrate, where such material layers include but are not limited to, a metal hard mask layer formed above a dielectric layer. The embodiments disclosed herein reduce or eliminate problems, such as undercutting of the metal hard mask layer and/or recess into the underlying dielectric layer, that occur during conventional continuous wave plasma etch processes by using a pulsed plasma to etch the features within the metal hard mask layer. A radio frequency (RF) modulated pulsed plasma scheme is disclosed herein to improve anisotropic etching of the features within the metal hard mask layer.
    Type: Application
    Filed: April 20, 2022
    Publication date: October 26, 2023
    Inventors: Ya-Ming Chen, Eric Chih-Fang Liu, Shihsheng Chang, Emilia Hirsch, Na Young Bae, Angelique Raley
  • Publication number: 20230326755
    Abstract: A method of forming a semiconductor device includes receiving a substrate in a plasma chamber, the substrate comprising an EUV patterned first mask material comprising a metal-based resist (MBR) and an underlying layer disposed between the substrate and the first mask material; depositing, selectively, a second mask material on the first masking layer using a first plasma comprising a source gas that reacts selectively with the first masking layer relative to the underlying layer; and etching the portion of the underlying layer to form a patterned underlying layer using the second masking layer and the first masking layer as an etch mask.
    Type: Application
    Filed: April 8, 2022
    Publication date: October 12, 2023
    Inventors: Katie Lutker-Lee, Angelique Raley
  • Patent number: 11756790
    Abstract: A method is described for patterning a dielectric layer disposed over a semiconductor substrate layer. The patterning process includes forming a patterned hard mask layer over the dielectric layer, the patterned hard mask layer exposing a portion of a major surface of the dielectric layer. A portion of the dielectric layer is removed by a cyclic etch process, where performing one cycle of the cyclic etch process comprises forming a capping layer selectively over the patterned hard mask layer and performing a timed etch process that removes material from the dielectric layer. In another method, the deposition over the hard mask and the removal of the portion of the dielectric layer are performed concurrently.
    Type: Grant
    Filed: March 9, 2021
    Date of Patent: September 12, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Yen-Tien Lu, Xinghua Sun, Shihsheng Chang, Eric Chih-Fang Liu, Angelique Raley, Katie Lutker-Lee
  • Patent number: 11742241
    Abstract: Methods are disclosed that provide improved via profile control by forming atomic layer deposition (ALD) liners to protect side walls of vias during subsequent etch processes. ALD liners can be used for BEOL etch processes as well as for full self-aligned via (FSAV) processes and/or other processes. For one embodiment, ALD liners are used as protection or sacrificial layers for vias to reduce damage during multilayer via or trench etch processes. The ALD liners can also be deposited at different points within process flows, for example, before or after removal of organic planarization layers. The use of ALD liners facilitates shrinking of via critical dimensions (CDs) while still controlling via profiles for various process applications including dual Damascene processes and FSAV processes. In addition, the use of ALD liners improves overall CD control for via or hole formation as well as device yield and reliability.
    Type: Grant
    Filed: September 28, 2021
    Date of Patent: August 29, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Xinghua Sun, Yen-Tien Lu, Angelique Raley, David O'Meara, Jeffrey Smith
  • Publication number: 20230260801
    Abstract: A method of processing a substrate that includes: etching a recess in the substrate using a metal hard mask (MHM) layer as an etch mask, the substrate including a dielectric layer over a conductive layer the includes a first conductive material, a portion of the MHM layer remaining over top surfaces of the dielectric layer after the etching; depositing a sacrificial fill over the substrate to at least partially fill the recess; removing the remaining portion of the MHM layer to expose the top surfaces while protecting the recess with the sacrificial fill; removing the sacrificial fill from the recess after removing the MHM layer, the removing of the sacrificial fill including exposing a portion of the conductive layer; and depositing a second conductive material to fill the recess, the depositing of the second conductive material providing an electrical connection between the conductive layer and the second conductive material.
    Type: Application
    Filed: April 12, 2022
    Publication date: August 17, 2023
    Inventors: Angelique Raley, Hirokazu Aizawa, Kaoru Maekawa, Katie Lutker-Lee, Gerrit Leusink
  • Publication number: 20230253205
    Abstract: A method of processing a substrate that includes: depositing a photoactive metal-based hard mask (photo-MHM) over an underlying layer, the underlying layer formed over a substrate, the photo-MHM including a metal; depositing a dielectric over the photo-MHM; etching a portion of the dielectric to form a first feature; depositing a spacer material over the first feature; etching the spacer material to expose top surfaces of the dielectric and a first portion of the photo-MHM; exposing the photo-MHM to a first ultraviolet light (UV) radiation through a first photomask, a first unmasked region of the photo-MHM being photoreacted due to the exposure to the first UV radiation; after the exposure, developing the photo-MHM to form a second feature in the photo-MHM; and etching the underlying layer using the photo-MHM as an etch mask.
    Type: Application
    Filed: February 7, 2022
    Publication date: August 10, 2023
    Inventors: Katie Lutker-Lee, Angelique Raley
  • Patent number: 11721578
    Abstract: Split ash processes are disclosed to suppress damage to low-dielectric-constant (low-K) layers during via formation. For one embodiment, ash processes used to remove an organic layer, such as an organic planarization layer (OPL), associated with via formation are split into multiple ash process steps that are separated by intervening process steps. A first ash process is performed to remove a portion of an organic layer after vias have been partially opened to a low-K layer. Subsequently, after the vias are fully opened through the low-K layer, an additional ash process is performed to remove the remaining organic material. Although some damage may still occur on via sidewalls due to this split ash processing, the damage is significantly reduced as compared to prior solutions, and device performance is improved. Target critical dimension (CD) for vias and effective dielectric constants for the low-K layer are achieved.
    Type: Grant
    Filed: November 3, 2020
    Date of Patent: August 8, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Yen-Tien Lu, Angelique Raley, Joe Lee
  • Publication number: 20230245890
    Abstract: A method of processing a substrate that includes: forming a first plurality of lines and a first plurality of recesses, each of the plurality of lines being separated from an adjacent one of the plurality of lines by one of the plurality of recesses, the first plurality of lines including a first material and formed over a to-be-patterned layer; performing a cyclic process including: depositing a mask material over the first plurality of lines and within the first plurality of recesses, the mask material deposited defining a second plurality of lines, each of the second plurality of lines dividing one of the first plurality of recesses to form a second plurality of recesses; and performing a trimming process to increase critical dimensions of the second plurality of recesses; and patterning the to-be-patterned layer using the first plurality of lines and the second plurality of lines as an etch mask.
    Type: Application
    Filed: February 1, 2022
    Publication date: August 3, 2023
    Inventors: Katie Lutker-Lee, Angelique Raley
  • Patent number: 11688604
    Abstract: A method of processing substrates, in one example microelectronic workpieces, is disclosed that includes forming a multi-layer metal hard mask (MHM) layer in which at least one lower layer of the multi-layer MHM is comprised of ruthenium (Ru). The Ru MHM layer may be an atomic layer deposition (ALD) Ru MHM layer formed over one or more underlying layers on a substrate. The ALD Ru MHM layer may be etched to provide a patterned ALD Ru MHM layer, and then the one or more underlying layers may be etched using, at least in part, the patterned ALD Ru MHM layer as a mask to protect portion of the one or more underlying layers. In one embodiment, at least one of the underlying layers is a hard mask layer.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: June 27, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Yen-Tien Lu, Kai-Hung Yu, Angelique Raley
  • Publication number: 20230197505
    Abstract: A method for patterning a substrate includes: forming a first photoresist etch mask with an extreme ultraviolet (EUV) lithography process, the first photoresist etch mask including first through openings, the first photoresist etch mask including a metal-based photoresist material; forming a second photoresist etch mask over the first photoresist etch mask, the second photoresist etch mask including second through openings; and forming first openings, through the first and the second photoresist etch masks, in a region of the substrate that vertically overlaps both the first through openings and the second through openings.
    Type: Application
    Filed: December 17, 2021
    Publication date: June 22, 2023
    Inventors: Katie Lutker-Lee, Angelique Raley, Nicholas Joy
  • Patent number: 11658038
    Abstract: A substrate processing method is described for etching silicon carbide films for resist underlayer applications. The method includes providing a substrate containing a silicon carbide film thereon, and a photoresist layer defining a pattern over the silicon carbide film, plasma-exciting an etching gas containing a fluorocarbon-containing gas and an oxygen-containing gas, and exposing the substrate to the plasma-excited etching gas to transfer the pattern to the silicon carbide film, where at least a portion of a thickness of the photoresist layer survives the exposing. For example, the photoresist layer includes an EUV resist layer and the etching gas includes C4F8 gas, O2 gas, and Ar gas. In another example, the exposing includes exposing the substrate to a) a plasma-excited etching gas containing C4F8 gas, O2 gas, and Ar gas, and b) exposing the substrate to a plasma-excited Ar gas, where steps a) and b) are sequentially performed at least once.
    Type: Grant
    Filed: May 11, 2021
    Date of Patent: May 23, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Angelique Raley, Christopher Cole, Qiaowei Lou
  • Publication number: 20230152705
    Abstract: A method includes loading a substrate with a resist including a pattern exposed with a first dose of UV light in the extreme ultraviolet (EUV) radiation region of the UV spectrum onto a developer track; blanket exposing the substrate with a second dose of ultraviolet light radiation in a first UV exposure module; and after the blanket exposing, developing the pattern.
    Type: Application
    Filed: November 17, 2021
    Publication date: May 18, 2023
    Inventors: Steven Grzeskowiak, Angelique Raley
  • Publication number: 20230154752
    Abstract: Methods are provided herein for forming spacers on a patterned substrate. A self-aligned multiple patterning (SAMP) process is utilized for patterning structures, spacers formed adjacent mandrels, on a substrate. In one embodiment, a novel approach of etching titanium oxide (TiO2) spacers is provided. Highly anisotropic etching of the spacer along with a selective top deposition is provided. In one embodiment, an inductively coupled plasma (ICP) etch tool is utilized. The etching process may be achieved as a one-step etching process. More particularly, a protective layer may be selectively formed on the top of the spacer to protect the mandrel as well as minimize the difference of the etching rates of the spacer top and the spacer bottom. In one embodiment, the techniques may be utilized to etch TiO2 spacers formed along amorphous silicon mandrels using an ICP etch tool utilizing a one-step etch process.
    Type: Application
    Filed: November 12, 2021
    Publication date: May 18, 2023
    Inventors: Ya-Ming Chen, Katie Lutker-Lee, Eric Chih-Fang Liu, Angelique Raley, Stephanie Oyola-Reynoso, Shihsheng Chang
  • Patent number: 11621164
    Abstract: Improved process flows and methods are provided herein for trimming structures formed on a patterned substrate. In the disclosed process flows and methods, a self-aligned multiple patterning (SAMP) process is utilized for patterning structures, such as mandrels, on a substrate. After the structures are patterned, an atomic layer deposition (ALD) process is used to form a spacer layer on the patterned structures. In the SAMP process disclosed herein, a critical dimension (CD) of the patterned structures is trimmed concurrently with, and as a result of, the formation of the spacer layer by controlling various ALD process parameters and conditions. By trimming the patterned structures in situ of the ALD chamber used to form the spacer layer on the patterned structures, the improved process flows and methods described herein provide a CD trim method that does not adversely affect the pattern profile or process throughput.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: April 4, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Katie Lutker-Lee, David O'Meara, Angelique Raley