Patents by Inventor Anton Devilliers

Anton Devilliers has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11848236
    Abstract: Process flows and methods are provided for recessing a fill material within openings formed within a patterned substrate. The openings are formed within a multilayer stack comprising a target material layer and one or more additional material layers, which overly and differ from the target material layer. After the openings are formed within the multilayer stack, a grafting material comprising a solubility-shifting agent is selectively deposited within the openings, such that the grafting material adheres to the target material layer without adhering to the additional material layer(s) overlying the target material layer. Next, a fill material is deposited within the openings and the solubility-shifting agent is activated to change the solubility of a portion of the fill material adjacent to and surrounding the grafting material. Then, a wet development process is used to remove the soluble/insoluble portions of fill material to the recess the fill material within the openings.
    Type: Grant
    Filed: September 20, 2021
    Date of Patent: December 19, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Anton deVilliers, Michael Murphy
  • Publication number: 20230367217
    Abstract: A method for patterning a substrate in which a patterned photoresist structure can be formed on the substrate, the patterned photoresist structure having a sidewall. A conformal layer of spacer material can be deposited on the sidewall. The patterned photoresist structure can then be removed from the substrate, leaving behind the spacer material. Then, the substrate can be directionally etched using the sidewall spacer as an etch mask to form the substrate having a target critical dimension.
    Type: Application
    Filed: July 18, 2023
    Publication date: November 16, 2023
    Applicant: Tokyo Electron Limited
    Inventors: Jodi GRZESKOWIAK, Anthony SCHEPIS, Anton DEVILLIERS
  • Patent number: 11782346
    Abstract: A method for patterning a substrate in which a patterned photoresist structure can be formed on the substrate, the patterned photoresist structure having a sidewall. A conformal layer of spacer material can be deposited on the sidewall. The patterned photoresist structure can then be removed from the substrate, leaving behind the spacer material. Then, the substrate can be directionally etched using the sidewall spacer as an etch mask to form the substrate having a target critical dimension.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: October 10, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Jodi Grzeskowiak, Anthony Schepis, Anton Devilliers
  • Patent number: 11776808
    Abstract: A method for planarizing a substrate includes: receiving a substrate having microfabricated structures that differ in height across the working surface of the substrate that define a non-planar topography, depositing a first layer that includes a solubility-shifting agent on the working surface of the substrate by spin-on deposition in a non-planar fashion, exposing the first layer to a first pattern of actinic radiation based on the topography, developing the first layer using a predetermined solvent, and depositing a second layer over the working surface of the substrate that has a greater planarity as compared to the first layer prior to developing the first layer. The first pattern of radiation changes a solubility of the first layer such that upper regions of the non-planar topography of the first layer are soluble to the predetermined solvent.
    Type: Grant
    Filed: December 15, 2020
    Date of Patent: October 3, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Anthony R. Schepis, Anton deVilliers
  • Patent number: 11764113
    Abstract: Techniques herein include methods for fabricating CFET devices. The methods enable high-temperature processes to be performed for FINFET and gate all around (GAA) technologies without degradation of temperature sensitive materials within the device and transistors. In particular, high temperature anneals and depositions can be performed prior to deposition of temperature-sensitive materials, such as work function metals and silicides. The methods enable at least two transistor devices to be fabricated in a stepwise manner while preventing thermal violations of any materials in either transistor.
    Type: Grant
    Filed: August 3, 2021
    Date of Patent: September 19, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey Smith, Daniel Chanemougame, Lars Liebmann, Paul Gutwin, Robert Clark, Anton Devilliers
  • Patent number: 11735525
    Abstract: A semiconductor device includes a first power rail, a first power input structure, a circuit and a first middle-of-line rail. The first power rail is formed in a first rail opening within a first isolation trench on a substrate. The first power input structure is configured to connect with a first terminal of a power source that is external of the semiconductor device to receive electrical power from the power source. The circuit is formed, on the substrate, by layers between the first power rail and the first power input structure. The first middle-of-line rail is formed by one or more of the layers that form the circuit. The first middle-of-line rail is configured to deliver the electrical power from the first power input structure to the first power rail, and the first power rail provides the electrical power to the circuit for operation.
    Type: Grant
    Filed: October 21, 2019
    Date of Patent: August 22, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Lars Liebmann, Jeffrey Smith, Anton Devilliers, Daniel Chanemougame
  • Patent number: 11721582
    Abstract: Techniques herein include methods for fabricating three-dimensional (3D) logic or memory stack integrated with 3D metal routing. The methods can include stacking metal layers within existing 3D silicon stacks. A first portion can be masked while a second, uncovered portion is etched. Predetermined layers in a bottom portion (disposed closer to the substrate) of the multilayer stack can be replaced with a conductor. The second portion can be masked while the first portion is uncovered and processed. This can enable higher density 3D circuits by having multiple metal lines contained within a multilayer 3D nano-sheet. Advantageously, this facilitates easier connections for 3D logic and memory. Moreover, better speed performance can be achieved by having reduced distance for signals to travel to transistor connections.
    Type: Grant
    Filed: December 21, 2021
    Date of Patent: August 8, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Mark I. Gardner, H. Jim Fulford, Anton Devilliers
  • Publication number: 20230052800
    Abstract: A method of forming sub-resolution features that includes: exposing a photoresist layer formed over a substrate to a first ultraviolet light (UV) radiation having a first wavelength of 365 nm or longer through a mask configured to form features at a first critical dimension, the photoresist layer including first portions exposed to the first UV radiation and second portions unexposed to the first UV radiation after exposing with the first UV radiation; exposing the first portions and the second portions to a second UV radiation; and developing the photoresist layer after exposing the photoresist layer to the second UV radiation to form the sub-resolution features having a second critical dimension less than the first critical dimension.
    Type: Application
    Filed: November 4, 2021
    Publication date: February 16, 2023
    Inventors: Daniel Fulford, Jodi Grzeskowiak, H. Jim Fulford, Sean Smith, Partha Mukhopadhyay, Michael Murphy, Anton deVilliers
  • Patent number: 11574845
    Abstract: A method of manufacturing a 3D semiconductor device, the method including forming a first target structure, the first target structure including at least one upper gate, at least one bottom gate, and a dielectric separation layer disposed between and separating the at least one upper gate and the at least one bottom gate; removing material in a plurality of material removal areas in the first target structure, the plurality of material removal areas including at least one material removal area that extends through the at least one upper gate to a top of the dielectric separation layer; and forming a first contact establishing a first electrical connection to the upper gate and a second contact establishing a second electrical connection to the at least one bottom gate, such that the first contact and second contact are independent of each other.
    Type: Grant
    Filed: April 14, 2020
    Date of Patent: February 7, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daniel Chanemougame, Lars Liebmann, Jeffrey Smith, Anton deVilliers
  • Publication number: 20230036597
    Abstract: Aspects of the present disclosure provide a self-aligned microfabrication method, which can include providing a substrate having vertically arranged first and second channel structures, forming first and second sacrificial contacts to cover ends of the first and second channel structures, respectively, covering the first and second sacrificial contacts with a fill material, recessing the fill material such that the second sacrificial contact is at least partially uncovered while the first sacrificial contact remains covered, replacing the second sacrificial contact with a cover spacer, removing a remaining portion of the first fill material, uncovering the end of the first channel structure, forming a first source/drain (S/D) contact to cover the end of the first channel structure, covering the first S/D contact with a second fill material, uncovering the end of the second channel structure, and forming a second S/D contact at the end of the second channel structure.
    Type: Application
    Filed: August 1, 2022
    Publication date: February 2, 2023
    Applicant: Tokyo Electron Limited
    Inventors: Jeffrey SMITH, Daniel CHANEMOUGAME, Lars LIEBMANN, Paul GUTWIN, Subhadeep KAL, Kandabara TAPILY, Anton DEVILLIERS
  • Publication number: 20230024975
    Abstract: A method for forming a semiconductor apparatus includes forming a plurality of repetitive initial structures over a substrate of the semiconductor apparatus. An initial structure in the plurality of repetitive initial structures is formed by forming a first stack of transistors along a Z direction substantially perpendicular to a substrate plane, and forming local interconnect structures. Each of the transistors in the first stack of transistors is sandwiched between two of the local interconnect structures. Vertical conductive structures are formed substantially parallel to the Z direction, a height of one of the vertical conductive structures along the Z direction being at least a height of the initial structure. The initial structure is functionalized into a final structure by forming one or more connections each electrically coupling one of the local interconnect structures to one of the vertical conductive structures.
    Type: Application
    Filed: September 28, 2022
    Publication date: January 26, 2023
    Applicant: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton deVilliers
  • Publication number: 20220375921
    Abstract: An integrated circuit includes an array of unit cells, each unit cell of which including field effect transistors arranged in a stack. Local interconnect structures form select conductive paths between select terminals of the field effect transistors to define cell circuitry that is confined within each unit cell. An array of contacts is disposed on an accessible surface of the unit cell, where each contact is electrically coupled to a corresponding electrical node of the cell circuitry.
    Type: Application
    Filed: August 3, 2022
    Publication date: November 24, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Daniel Chanemougame, Anton deVilliers
  • Patent number: 11495540
    Abstract: Aspects of the disclosure provide a semiconductor apparatus including a plurality of structures. A first one of the structures comprises a first stack of transistors that includes a first transistor formed on a substrate and a second transistor stacked on the first transistor along a Z direction substantially perpendicular to a substrate plane of the semiconductor apparatus. The first one of the structures further includes local interconnect structures. The first transistor is sandwiched between two of the local interconnect structures. The first one of the structures further includes vertical conductive structures substantially parallel to the Z direction. The vertical conductive structures are configured to provide at least power supplies for the first one of the structures by electrically coupling with the local interconnect structures. A height of one of the vertical conductive structures along the Z direction is at least a height of the first one of the structures.
    Type: Grant
    Filed: October 22, 2019
    Date of Patent: November 8, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton deVilliers
  • Patent number: 11488947
    Abstract: An integrated circuit includes an array of unit cells, each unit cell of which including field effect transistors arranged in a stack. Local interconnect structures form select conductive paths between select terminals of the field effect transistors to define cell circuitry that is confined within each unit cell. An array of contacts is disposed on an accessible surface of the unit cell, where each contact is electrically coupled to a corresponding electrical node of the cell circuitry.
    Type: Grant
    Filed: April 13, 2020
    Date of Patent: November 1, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Daniel Chanemougame, Anton deVilliers
  • Patent number: 11450671
    Abstract: Aspects of the disclosure provide a semiconductor apparatus including a first stack of transistors and a second stack of transistors. The first stack includes a first transistor and a second transistor stacked on the first transistor along a Z direction perpendicular to a substrate plane. The second stack includes a third transistor and a fourth transistor stacked on the third transistor along the Z direction. The semiconductor apparatus includes a first routing track and a second routing track electrically isolated from the first routing track. The first and second routing tracks extend in an X direction parallel to the substrate plane. A first and fourth conductive trace conductively couple a first gate of the first transistor and a fourth gate of the fourth transistor to the first routing track, respectively. A first terminal structure conductively couples four source/drain terminals of the first, second, third and fourth transistors, respectively.
    Type: Grant
    Filed: April 14, 2020
    Date of Patent: September 20, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Lars Liebmann, Jeffrey Smith, Anton deVilliers, Daniel Chanemougame
  • Patent number: 11443953
    Abstract: A processing method includes receiving a substrate containing a base layer having a mandrel pattern formed thereon containing a number of features, conformally depositing a silicon oxide film over the mandrel pattern by coating surfaces of the substrate with a metal-containing catalyst layer, and in the absence of any oxidizing and hydrolyzing agent, exposing the substrate to a process gas containing a silanol gas at a substrate temperature selected to yield a preferred level of stress in the silicon oxide film. The method further includes removing the silicon oxide film from upper surfaces of the mandrel pattern and lower surfaces adjacent the mandrel pattern to leave behind silicon oxide sidewall spacers on sidewalls of the mandrel pattern, and removing the mandrel pattern from the substrate to leave behind the silicon oxide sidewall spacers that form a new pattern having double the number of features of the removed mandrel pattern.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: September 13, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara N. Tapily, Anton deVilliers, Gerrit J. Leusink
  • Patent number: 11437376
    Abstract: A 3D IC includes a substrate having a substrate surface, a first stack of semiconductor devices stacked along a thickness direction of the substrate, and a second stack of semiconductor devices stacked along the thickness direction of the substrate and provided adjacent to the first stack in a direction along the substrate surface. Each semiconductor device of the first and second stack includes a gate and a pair of source-drain regions provided on opposite sides of the respective gate, and each gate of the first and second stack is a split gate. A gate contact is physically connected to a first split gate of a first one of the semiconductor devices. The gate contact forms at least part of a local interconnect structure that electrically connects the first semiconductor device to a second semiconductor device in the 3D IC.
    Type: Grant
    Filed: April 15, 2020
    Date of Patent: September 6, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton deVilliers, Daniel Chanemougame
  • Patent number: 11417526
    Abstract: A method of forming a device includes depositing a first etch mask layer over a mandrel formed using a lithography process. The method includes depositing a second etch mask layer over the first etch mask layer. The method includes, using a first anisotropic etching process, etching the first etch mask layer and the second etch mask layer to form an etch mask including the first etch mask layer and the second etch mask layer. The method includes removing the mandrel to expose an underlying surface of the layer to be patterned. The method includes, using the etch mask, forming a feature by performing a second anisotropic etching process to pattern the layer to be patterned, where during the first anisotropic etching process, the first etch mask layer etches at a first rate and the second etch mask layer etches at a second rate, and where the first rate is different from the second rate.
    Type: Grant
    Filed: February 3, 2020
    Date of Patent: August 16, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: David L. O'Meara, Eric Chih-Fang Liu, Jodi Grzeskowiak, Anton deVilliers, Akiteru Ko, Anthony Dip
  • Patent number: 11393694
    Abstract: Techniques herein include methods for planarizing films including films used in the fabrication of semiconductor devices. Such fabrication can generate structures on a surface of a substrate, and these structures can have a spatially variable density across the surface. Planarization methods herein include depositing a first acid-labile film overtop the structures and the substrate, the first acid-labile film filling between the structures. A second acid-labile film is deposited overtop the first acid-labile film. An acid source film is deposited overtop the second acid-labile film, the acid source film including an acid generator configured to generate an acid in response to receiving radiation having a predetermined wavelength of light. A pattern of radiation is projected over the acid source film, the pattern of radiation having a spatially variable intensity at predetermined areas of the pattern of radiation.
    Type: Grant
    Filed: November 5, 2019
    Date of Patent: July 19, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Anton Devilliers, Robert Brandt, Jeffrey Smith, Jodi Grzeskowiak, Daniel Fulford
  • Patent number: 11383211
    Abstract: A method and a system are described for mixing liquid chemicals at dynamically changing or static ratios during a given dispense, with extremely high uniformity and repeatability. A mixer includes multiple fluid supply lines including elongate bladders defining a linear flow path and being configured to laterally expand to collect a process fluid and laterally contract to deliver a selected volume of the process fluid to the mixer.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: July 12, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Ronald W. Nasman, Lior Huli, Anton deVilliers, Rodney Robison, Norman Jacobson, James Grootegoed