Patents by Inventor Ellie Y. Yieh

Ellie Y. Yieh has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 10128337
    Abstract: Methods for forming fin structures with desired profile and dimensions for three dimensional (3D) stacking of fin field effect transistor (FinFET) for semiconductor chips are provided. The methods include a structure reshaping process to reshape a shaped structure, such as a diamond like structure formed on a fin structure. In one embodiment, a method for forming a structure on a substrate includes performing an epitaxial deposition process to form a shaped structure on a fin structure disposed on a substrate, performing a mask layer deposition process to form a mask layer having a first width on the shaped structure, and performing a mask trimming process to trim the mask layer from the first width from a second width.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: November 13, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Jie Zhou, Zhong Qiang Hua, Chentsau Ying, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10096512
    Abstract: Implementations described herein relate to methods for forming gap fill materials. After the gap fill material is deposited and before a CMP process is performed on the gap fill material, one or more ion implantation processes are utilized to treat the deposited gap fill material. The one or more ion implantation processes include implanting a first ion species in the gap fill material using a first ion energy, and then implanting a second ion species in the gap fill material using a second ion energy that's lower than the first ion energy. The one or more ion implantation processes minimize CMP dishing and improve recess profile.
    Type: Grant
    Filed: October 11, 2016
    Date of Patent: October 9, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Erica Chen, Ludovic Godet, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10049927
    Abstract: Aspects of the disclosure include methods of treating a substrate to remove one or more of voids, seams, and grain boundaries from interconnects formed on the substrate. The method includes heating the substrate in an environment pressurized at supra-atmospheric pressure. In one example, the substrate may be heated in a hydrogen-containing atmosphere.
    Type: Grant
    Filed: October 24, 2016
    Date of Patent: August 14, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Bencherki Mebarki, Sean Kang, Keith Tatseun Wong, He Ren, Mehul B. Naik, Ellie Y. Yieh, Srinivas D. Nemani
  • Publication number: 20180209037
    Abstract: Embodiments of the present disclosure generally describe methods for depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, using a high power impulse magnetron sputtering (HiPIMS) process, and in particular, biasing of the substrate during the deposition process and flowing a nitrogen source gas and/or a hydrogen source gas into the processing chamber in addition to an inert gas to improve the morphology and film stress of the deposited amorphous carbon layer.
    Type: Application
    Filed: November 22, 2017
    Publication date: July 26, 2018
    Inventors: Bhargav CITLA, Jingjing LIU, Zhong Qiang HUA, Chentsau YING, Srinivas D. NEMANI, Ellie Y. YIEH
  • Publication number: 20180136569
    Abstract: Embodiments disclosed herein relate to methods and systems for correcting overlay errors on a surface of a substrate. A processor performs a measurement process on a substrate to obtain an overlay error map. The processor determines an order of treatment for the substrate based on the overlay error map. The order of treatment includes one or more treatment processes. The processor generates a process recipe for a treatment process of the one or more treatment processes in the order of treatment. The processor provides the process recipe to a substrate treatment apparatus.
    Type: Application
    Filed: November 13, 2017
    Publication date: May 17, 2018
    Inventors: Mangesh BANGAR, Srinivas D. NEMANI, Steve G. GHANAYEM, Ellie Y. YIEH
  • Publication number: 20180122679
    Abstract: A substrate carrier with contacts is described that is balanced for thermal stress. In one example workpiece carrier has a rigid substrate configured to support a workpiece to be carried for processing, a first dielectric layer over the substrate, an electrostatic conductive electrode over the first dielectric layer to electrostatically hold the workpiece to be carried, a second dielectric layer over the electrode to electrically isolate the workpiece from the electrode, and a third dielectric layer under the substrate to counter thermal stress applied to the substrate by the first and second dielectric layers.
    Type: Application
    Filed: October 28, 2016
    Publication date: May 3, 2018
    Inventors: Shambhu N. Roy, Gautam Pisharody, Seshadri Ramaswami, Srinivas D. Nemani, Zhong Qiang Hua, Douglas A. Buchberger, JR., Niranjan Kumar, Ellie Y. Yieh
  • Patent number: 9911594
    Abstract: Methods for forming fin structure with desired materials formed on different locations of the fin structure using a selective deposition process for three dimensional (3D) stacking of fin field effect transistor (FinFET) for semiconductor chips are provided. In one embodiment, a method of forming a structure with desired materials on a substrate includes forming a patterned self-assembled monolayer on a circumference of a structure formed on a substrate, wherein the patterned self-assembled monolayer includes a treated layer formed among a self-assembled monolayer, and performing an atomic layer deposition process to form a material layer predominantly on the self-assembled monolayer from the patterned self-assembled monolayer.
    Type: Grant
    Filed: November 8, 2016
    Date of Patent: March 6, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Srinivas D. Nemani, Ellie Y. Yieh, Ludovic Godet, Yin Fan
  • Publication number: 20180025931
    Abstract: A processed wafer is described that may be used as a workpiece carrier in semiconductor and mechanical processing. In some examples, the workpiece carrier includes a substrate, an electrode formed on the substrate to carry an electric charge to grip a workpiece, a through hole through the substrate and connected to the electrode, and a dielectric layer over the substrate to isolate the electrode from the workpiece.
    Type: Application
    Filed: July 22, 2016
    Publication date: January 25, 2018
    Inventors: Srinivas D. Nemani, Shambhu N. Roy, Gautam Pisharody, Douglas A. Buchberger, JR., Ellie Y. Yieh, Zhong Qiang Hua
  • Patent number: 9865466
    Abstract: Implementations described herein generally relate to methods of selective deposition of metal silicides. More specifically, implementations described herein generally relate to methods of forming nickel silicide nanowires for semiconductor applications. In one implementation, a method of processing a substrate is provided. The method comprises forming a silicon-containing layer on a surface of a substrate, forming a metal-containing layer comprising a transition metal on the silicon-containing layer, forming a confinement layer on exposed surfaces of the metal-containing layer and annealing the substrate at a temperature of less than 400 degrees Celsius to form a metal silicide layer from the silicon-containing layer and the metal-containing layer, wherein the confinement layer inhibits formation of metal-rich metal silicide phases.
    Type: Grant
    Filed: August 18, 2016
    Date of Patent: January 9, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bencherki Mebarki, Ellie Y. Yieh, Mehul B. Naik, Srinivas D. Nemani
  • Patent number: 9852916
    Abstract: A first portion of a multiple cycle spacer is formed on a sidewall of a patterned feature over a substrate. A spacer layer is deposited on the first portion using a first plasma process. The spacer layer is etched to form a second portion of the multiple cycle spacer on the first portion using a second plasma process. A cycle comprising depositing and etching of the spacer layer is continuously repeated until the multiple cycle spacer is formed.
    Type: Grant
    Filed: June 27, 2016
    Date of Patent: December 26, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Hao Chen, Chentsau (Chris) Ying, Srinivas D. Nemani, Ellie Y. Yieh
  • Publication number: 20170358490
    Abstract: Aspects of the disclosure include methods of treating a substrate to remove one or more of voids, seams, and grain boundaries from interconnects formed on the substrate. The method includes heating the substrate in an environment pressurized at supra-atmospheric pressure. In one example, the substrate may be heated in a hydrogen-containing atmosphere.
    Type: Application
    Filed: October 24, 2016
    Publication date: December 14, 2017
    Inventors: Bencherki MEBARKI, Sean KANG, Keith Tatseun WONG, He REN, Mehul B. NAIK, Ellie Y. YIEH, Srinivas D. NEMANI
  • Publication number: 20170352726
    Abstract: Methods for forming fin structures with desired profile and dimensions for three dimensional (3D) stacking of fin field effect transistor (FinFET) for semiconductor chips are provided. The methods include a structure reshaping process to reshape a shaped structure, such as a diamond like structure formed on a fin structure. In one embodiment, a method for forming a structure on a substrate includes performing an epitaxial deposition process to form a shaped structure on a fin structure disposed on a substrate, performing a mask layer deposition process to form a mask layer having a first width on the shaped structure, and performing a mask trimming process to trim the mask layer from the first width from a second width.
    Type: Application
    Filed: June 3, 2016
    Publication date: December 7, 2017
    Inventors: Jie ZHOU, Zhong Qiang HUA, Chentsau YING, Srinivas D. NEMANI, Ellie Y. YIEH
  • Patent number: 9815091
    Abstract: Particulate cleaning assemblies and methods for cleaning are disclosed. In one example, a device for removing particles from a backside surface of a substrate is described. The device includes a chamber body with a substrate chucking device, a particulate cleaning article positioned over the substrate supporting surface, an optical sensing device positioned under the particulate cleaning article and a substrate positioning device separates the particulate cleaning article and a substrate. In another example, a method for removing particles from a substrate is disclosed. The method includes positioning a substrate with a processing surface and a supporting surface in a process chamber. At least a portion of the substrate can be chucked to a substrate chucking device, the substrate chucking device having a substrate supporting surface with a particulate cleaning article positioned thereon. The substrate is then separated from the particulate cleaning article leaving particles behind.
    Type: Grant
    Filed: September 3, 2014
    Date of Patent: November 14, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Christopher S. Ngai, Huixiong Dai, Ludovic Godet, Ellie Y. Yieh
  • Publication number: 20170287752
    Abstract: Embodiments of the disclosure provide an integrated system for performing a measurement process and a lithographic overlay error correction process on a semiconductor substrate in a single processing system. In one embodiment, a processing system includes at least a load lock chamber, a transfer chamber coupled to the load lock chamber, an ion implantation processing chamber coupled to or in the transfer chamber, and a metrology tool coupled to the transfer chamber, wherein the metrology tool is adapted to obtain stress profile or an overlay error on a substrate disposed in the metrology tool.
    Type: Application
    Filed: February 28, 2017
    Publication date: October 5, 2017
    Inventors: Ludovic GODET, Mehdi VAEZ-IRAVANI, Todd EGAN, Mangesh BANGAR, Concetta RICCOBENE, Abdul Aziz KHAJA, Srinivas D. NEMANI, Ellie Y. YIEH, Sean S. KANG
  • Patent number: 9777378
    Abstract: Embodiments described herein relate to methods for forming flowable chemical vapor deposition (FCVD) films suitable for high aspect ratio gap fill applications. Various process flows described include ion implantation processes utilized to treat a deposited FCVD film to improve dielectric film density and material composition. Ion implantation processes, curing processes, and annealing processes may be utilized in various sequence combinations to form dielectric films having improved densities at temperatures within the thermal budget of device materials. Improved film quality characteristics include reduced film stress and reduced film shrinkage when compared to conventional FCVD film formation processes.
    Type: Grant
    Filed: March 2, 2015
    Date of Patent: October 3, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Srinivas D. Nemani, Erica Chen, Ludovic Godet, Jun Xue, Ellie Y. Yieh
  • Patent number: 9773675
    Abstract: Embodiments of the present disclosure relate to precision material modification of three dimensional (3D) features or advanced processing techniques. Directional ion implantation methods are utilized to selectively modify desired regions of a material layer to improve etch characteristics of the modified material. For example, a modified region of a material layer may exhibit improved etch selectivity relative to an unmodified region of the material layer. Methods described herein are useful for manufacturing 3D hardmasks which may be advantageously utilized in various integration schemes, such as fin isolation and gate-all-around, among others. Multiple directional ion implantation processes may also be utilized to form dopant gradient profiles within a modified layer to further influence etching processes.
    Type: Grant
    Filed: February 14, 2017
    Date of Patent: September 26, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ludovic Godet, Srinivas D. Nemani, Erica Chen, Jun Xue, Ellie Y. Yieh, Gary E. Dickerson
  • Patent number: 9754791
    Abstract: Methods for selectively depositing different materials at different locations on a substrate are provided. A selective deposition process may form different materials on different surfaces, e.g., different portions of the substrate, depending on the material properties of the underlying layer being deposited on. Ion implantation processes may be used to modify materials disposed on the substrate. The ions modify surface properties of the substrate to enable the subsequent selective deposition process. A substrate having a mask disposed thereon may be subjected to an on implantation process to modify the mask and surfaces of the substrate exposed by the mask. The mask may be removed which results in a substrate having regions of implanted and non-implanted materials. A subsequent deposition process may be performed to selectively deposit on either the implanted or non-implanted regions of the substrate.
    Type: Grant
    Filed: April 7, 2015
    Date of Patent: September 5, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ludovic Godet, Yin Fan, Ellie Y. Yieh, Srinivas D. Nemani
  • Patent number: 9748148
    Abstract: Embodiments of the disclosure provide apparatus and methods for localized stress modulation for overlay and edge placement error (EPE) using electron or ion implantation. In one embodiment, a process for correcting overlay error on a substrate generally includes performing a measurement process in a metrology tool on a substrate to obtain a substrate distortion or an overlay error map, determining doping parameters to correct overlay error or substrate distortion based on the overlay error map, and providing a doping recipe to a doping apparatus based on the doping parameters determined to correct substrate distortion or overlay error. Embodiments may also provide performing a doping treatment process on the substrate using the determined doping repair recipe, for example, by comparing the overlay error map or substrate distortion with a database library stored in a computing system.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: August 29, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ellie Y. Yieh, Huixiong Dai, Srinivas D. Nemani, Ludovic Godet, Christopher Dennis Bencher
  • Publication number: 20170203364
    Abstract: An additive manufacturing system includes a platen, a feed material dispenser apparatus configured to deliver a feed material over the platen, a laser configured to produce a laser beam, a controller configured to direct the laser beam to locations specified by data stored in a computer-readable medium to cause the feed material to fuse, and a plasma source configured to produce ions that are directed to substantially the same location on the platen as the laser beam.
    Type: Application
    Filed: July 16, 2015
    Publication date: July 20, 2017
    Inventors: Kartik Ramaswamy, Anantha K. Subramani, Kasiraman Krishnan, Jennifer Y. Sun, Srinivas D. Nemani, Thomas B. Brezoczky, Christopher A. Rowland, Simon Yavelberg, Swaminathan Srinivasan, Nag B. Patibandla, Ellie Y. Yieh, Hou T. Ng
  • Publication number: 20170182556
    Abstract: An additive manufacturing system includes a platen, a feed material dispenser apparatus configured to deliver a feed material onto the platen, a laser source configured to produce a laser beam during use of the additive manufacturing system, a controller configured to direct the laser beam to locations on the platen specified by a computer aided design program to cause the feed material to fuse, a gas source configured to supply gas, and a nozzle configured to accelerate and direct the gas to substantially the same location on the platen as the laser beam.
    Type: Application
    Filed: July 16, 2015
    Publication date: June 29, 2017
    Inventors: Kartik Ramaswamy, Anantha K. Subramani, Kasiraman Krishnan, Jennifer Y. Sun, Thomas B. Brezoczky, Christopher A. Rowland, Srinivas D. Nemani, Swaminathan Srinivasan, Simon Yavelberg, Ellie Y. Yieh, Hou T. Ng