Patents by Inventor Giridhar Nallapati

Giridhar Nallapati has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11973019
    Abstract: Deep trench capacitors (DTCs) in an inter-layer medium (ILM) on an interconnect layer of an integrated circuit (IC) die is disclosed. A method of fabricating an IC die comprising DTCs in the ILM is also disclosed. The DTCs are disposed on an IC, in an ILM, to minimize the lengths of the power and ground traces coupling the DTCs to circuits in a semiconductor layer. The DTCs and the semiconductor layer are on opposite sides of the metal layer(s) used to interconnect the circuits, so the locations of the DTCs in the ILM can be independent of circuit layout and interconnect routing. IC dies with DTCs disposed in the ILM can significantly reduce voltage droop and spikes in IC dies in an IC stack. In one example, DTCs are also located in trenches in the substrate of the IC die.
    Type: Grant
    Filed: May 19, 2021
    Date of Patent: April 30, 2024
    Assignee: QUALCOMM Incorporated
    Inventors: Jihong Choi, Stanley Seungchul Song, Giridhar Nallapati, Periannan Chidambaram
  • Patent number: 11973020
    Abstract: Disclosed are examples of a device and method of fabricating a device including a first top contact, a second top contact, adjacent the first top contact, a first mesa disposed below the first top contact and a second mesa disposed below the second top contact. A first plate of a metal-insulator-metal (MIM) capacitor is disposed below the first top contact and electrically coupled to the first top contact. A first insulator of the MIM capacitor is disposed on the first plate. A second plate of the MIM capacitor is disposed on the first insulator and electrically coupled to the second top contact. A second insulator of the MIM capacitor is disposed on the second plate. A third plate of the MIM capacitor is disposed on the second insulator and electrically coupled to the first top contact.
    Type: Grant
    Filed: September 9, 2021
    Date of Patent: April 30, 2024
    Assignee: QUALCOMM Incorporated
    Inventors: John Jianhong Zhu, Lixin Ge, Giridhar Nallapati
  • Publication number: 20240105728
    Abstract: Disclosed are standard cells, transistors, and methods for fabricating the same. In an aspect, a transistor includes a drain and a source each including a first drain/source silicide layer on a frontside surface of the drain/source and a second drain/source silicide layer on a backside surface of the drain/source. The first drain silicide layer is coupled to a first drain contact structure or the second drain silicide layer is coupled to a second drain contact structure. The first source silicide layer is coupled to a first source contact structure or the second source silicide layer is coupled to a second source contact structure. A gate structure is disposed between the source and the drain. A channel is at least partially enclosed by the gate structure and disposed between the source and the drain and is recessed from the backside surfaces of the source and drain.
    Type: Application
    Filed: September 21, 2023
    Publication date: March 28, 2024
    Inventors: Qingqing LIANG, Haining YANG, Jonghae KIM, Periannan CHIDAMBARAM, George Pete IMTHURN, Jun YUAN, Giridhar NALLAPATI, Deepak SHARMA
  • Patent number: 11942414
    Abstract: Integrated circuits (ICs), including capacitors and inductors, employing directly coupled metal lines between vertically-adjacent interconnect layers for reduced coupling resistance, and related fabrication methods. By directly coupled, it is meant that there is not an intermediate vertical interconnect access (via) layer with a via(s) interconnecting the metal lines in vertically-adjacent interconnect layers. An overlying and underlying metal line in respective and vertically-adjacent overlying and underlying interconnect layers are directly coupled to each other without the need for an intermediate via layer. For example, directly coupled metal in adjacent interconnect layers of IC can reduce contact resistance between the metal lines and reduce the overall height of the IC.
    Type: Grant
    Filed: September 17, 2021
    Date of Patent: March 26, 2024
    Assignee: QUALCOMM Incorporated
    Inventors: John Jianhong Zhu, Junjing Bao, Giridhar Nallapati
  • Publication number: 20240096964
    Abstract: Vertical channel field-effect transistors (VCFETs) with reduced contact resistance and/or parasitic capacitance, and related fabrication methods. In exemplary aspects, to reduce contact resistance of the VCFET, an end portion of the vertical channel has a semiconductor structure that has an expanded width in the horizontal direction parallel to the substrate surface. This provides a greater area to form a contact for a source/drain to reduce contact resistance of the VCFET. To reduce the parasitic capacitance between the gate and a contact of the VCFET, the spacer includes one or more air gaps that form an air spacer(s) between the gate and the contact to reduce the overall average permittivity of the spacer. In one example, the air spacer(s) of the VCFET is elongated in the horizontal direction parallel to the substrate surface (and perpendicular to the vertical direction of the vertical channel) to further reduce the parasitic capacitance.
    Type: Application
    Filed: September 20, 2022
    Publication date: March 21, 2024
    Inventors: Junjing Bao, Xia Li, Giridhar Nallapati
  • Publication number: 20240079352
    Abstract: Aspects disclosed herein include integrated circuit (IC) packages employing a capacitor interposer substrate with aligned external interconnects, and related fabrication methods. The IC package includes one or more semiconductor dies (“dies”) electrically coupled to a package substrate that supports electrical signal routing to and from the die(s). The capacitor interposer substrate is disposed between the die(s) and the package substrate. The die(s) is coupled to embedded capacitor(s) in the capacitor interposer substrate through die interconnects coupled to external interconnects of the capacitor interposer substrate. In exemplary aspects, the external interconnects on the outer surfaces of the capacitor interposer substrate are aligned.
    Type: Application
    Filed: September 2, 2022
    Publication date: March 7, 2024
    Inventors: Jihong Choi, Giridhar Nallapati, Lily Zhao, Dongming He
  • Publication number: 20230223341
    Abstract: An interconnect structure comprising a low via resistance via structure is disclosed. The via structure comprises a barrier layer on sidewalls and at bottom of the via structure. The interconnect structure also includes a first metal layer. The interconnect structure further includes a second metal layer between the barrier layer at the bottom of the via structure and the first metal layer, wherein the first metal layer and the second metal layer comprise different materials.
    Type: Application
    Filed: January 11, 2022
    Publication date: July 13, 2023
    Inventors: Junjing BAO, John Jianhong Zhu, Giridhar Nallapati
  • Publication number: 20230108523
    Abstract: Integrated circuits (ICs), including capacitors and inductors, employing directly coupled metal lines between vertically-adjacent interconnect layers for reduced coupling resistance, and related fabrication methods. By directly coupled, it is meant that there is not an intermediate vertical interconnect access (via) layer with a via(s) interconnecting the metal lines in vertically-adjacent interconnect layers. An overlying and underlying metal line in respective and vertically-adjacent overlying and underlying interconnect layers are directly coupled to each other without the need for an intermediate via layer. For example, directly coupled metal in adjacent interconnect layers of lC can reduce contact resistance between the metal lines and reduce the overall height of the IC.
    Type: Application
    Filed: September 17, 2021
    Publication date: April 6, 2023
    Inventors: John Jianhong Zhu, Junjing Bao, Giridhar Nallapati
  • Publication number: 20230072667
    Abstract: Disclosed are examples of a device and method of fabricating a device including a first top contact, a second top contact, adjacent the first top contact, a first mesa disposed below the first top contact and a second mesa disposed below the second top contact. A first plate of a metal-insulator-metal (MIM) capacitor is disposed below the first top contact and electrically coupled to the first top contact. A first insulator of the MIM capacitor is disposed on the first plate. A second plate of the MIM capacitor is disposed on the first insulator and electrically coupled to the second top contact. A second insulator of the MIM capacitor is disposed on the second plate. A third plate of the MIM capacitor is disposed on the second insulator and electrically coupled to the first top contact.
    Type: Application
    Filed: September 9, 2021
    Publication date: March 9, 2023
    Inventors: John Jianhong ZHU, Lixin GE, Giridhar NALLAPATI
  • Publication number: 20220375851
    Abstract: Deep trench capacitors (DTCs) in an inter-layer medium (ILM) on an interconnect layer of an integrated circuit (IC) die is disclosed. A method of fabricating an IC die comprising DTCs in the ILM is also disclosed. The DTCs are disposed on an IC, in an ILM, to minimize the lengths of the power and ground traces coupling the DTCs to circuits in a semiconductor layer. The DTCs and the semiconductor layer are on opposite sides of the metal layer(s) used to interconnect the circuits, so the locations of the DTCs in the ILM can be independent of circuit layout and interconnect routing. IC dies with DTCs disposed in the ILM can significantly reduce voltage droop and spikes in IC dies in an IC stack. In one example, DTCs are also located in trenches in the substrate of the IC die.
    Type: Application
    Filed: May 19, 2021
    Publication date: November 24, 2022
    Inventors: Jihong Choi, Stanley Seungchul Song, Giridhar Nallapati, Periannan Chidambaram
  • Publication number: 20220344250
    Abstract: Integrated circuit (IC) packages employing a capacitor-embedded, redistribution layer (RDL) substrate and related fabrication methods. The embedded capacitor can be coupled to a power distribution network (PDN) to provide decoupling capacitance to reduce current-resistance (IR) drop. The RDL substrate is disposed between the IC chip(s) and the package substrate to minimize distance between the embedded capacitor(s) and the IC chip(s) to reduce the parasitic inductance in the PDN, thus reducing PDN noise. With the RDL substrate disposed between the package substrate and the IC chip(s), the RDL substrate needs to support through-interconnections between the package substrate and the IC chip(s). In this regard, the RDL substrate includes an outer RDL layer adjacent to the IC chip(s) to support small pitch metal interconnects as well as provide fan-out capability.
    Type: Application
    Filed: April 22, 2021
    Publication date: October 27, 2022
    Inventors: Jihong Choi, Giridhar Nallapati, William Stone, Jianwen Xu, Jonghae Kim, Periannan Chidambaram, Ahmer Syed
  • Publication number: 20220336351
    Abstract: In an aspect, a system on a chip (SOC) includes a plurality of function blocks, including a first function block and a second function block, co-located on the SOC. The SOC includes a first metal layer, a first dielectric layer located on top of the first metal layer, and a first via located in the first dielectric layer and used in the first function block. The SOC includes a second via located in the first dielectric layer and used in the second function block and a second metal layer located on the first dielectric layer. The second metal layer comprises a first set of connections used in the first function block and a second set of connections used in the second function block. The first set of connections is different from the second set of connections. The SOC includes a second dielectric layer located on the first dielectric layer.
    Type: Application
    Filed: April 19, 2021
    Publication date: October 20, 2022
    Inventors: John Jianhong ZHU, Junjing BAO, Giridhar NALLAPATI
  • Publication number: 20220336607
    Abstract: Disclosed are apparatuses including a transistor cell and methods of fabricating the transistor cell. The transistor cell may include a substrate, an active region and a gate having a gate contact in the active region. The transistor cell may further include a first portion of a spacer of the gate contact formed from a first material, and a second portion of the spacer of the gate contact formed from a second material.
    Type: Application
    Filed: April 20, 2021
    Publication date: October 20, 2022
    Inventors: Junjing BAO, John Jianhong ZHU, Giridhar NALLAPATI
  • Patent number: 11437379
    Abstract: Field-effect transistor (FET) circuits employing topside and backside contacts for topside and backside routing of FET power and logic signals. A FET circuit is provided that includes a FET that includes a conduction channel, a source, a drain, and a gate. The FET circuit also includes a topside metal contact electrically coupled with at least one of the source, drain, and gate of the FET. The FET circuit also includes a backside metal contact electrically coupled with at least one of the source, drain, and gate of the FET. The FET circuit also includes topside and backside metal lines electrically coupled to the respective topside and backside metal contacts to provide power and signal routing to the FET. A complementary metal oxide semiconductor (CMOS) circuit is also provided that includes a PFET and NFET that each includes a topside and backside contact for power and signal routing.
    Type: Grant
    Filed: September 18, 2020
    Date of Patent: September 6, 2022
    Assignee: QUALCOMM Incorporated
    Inventors: Stanley Seungchul Song, Deepak Sharma, Bharani Chava, Hyeokjin Lim, Peijie Feng, Seung Hyuk Kang, Jonghae Kim, Periannan Chidambaram, Kern Rim, Giridhar Nallapati, Venugopal Boynapalli, Foua Vang
  • Patent number: 11404373
    Abstract: Disclosed are standard cells and methods for fabricating standard cells used in semiconductor device design and fabrication. Aspects disclosed include a standard cell having a plurality of wide metal lines. The wide metal lines being formed from copper. The standard cell also includes a plurality of narrow metal lines. The narrow metal lines are formed from a material that has a lower resistance than copper for line widths on the order of twelve nanometers or less.
    Type: Grant
    Filed: December 3, 2019
    Date of Patent: August 2, 2022
    Assignee: QUALCOMM Incorporated
    Inventors: Junjing Bao, John Jianhong Zhu, Giridhar Nallapati
  • Publication number: 20220093594
    Abstract: Field-effect transistor (FET) circuits employing topside and backside contacts for topside and backside routing of FET power and logic signals. A FET circuit is provided that includes a FET that includes a conduction channel, a source, a drain, and a gate. The FET circuit also includes a topside metal contact electrically coupled with at least one of the source, drain, and gate of the FET. The FET circuit also includes a backside metal contact electrically coupled with at least one of the source, drain, and gate of the FET. The FET circuit also includes topside and backside metal lines electrically coupled to the respective topside and backside metal contacts to provide power and signal routing to the FET. A complementary metal oxide semiconductor (CMOS) circuit is also provided that includes a PFET and NFET that each includes a topside and backside contact for power and signal routing.
    Type: Application
    Filed: September 18, 2020
    Publication date: March 24, 2022
    Inventors: Stanley Seungchul SONG, Deepak SHARMA, Bharani CHAVA, Hyeokjin LIM, Peijie FENG, Seung Hyuk KANG, Jonghae KIM, Periannan CHIDAMBARAM, Kern RIM, Giridhar NALLAPATI, Venugopal BOYNAPALLI, Foua VANG
  • Publication number: 20210305155
    Abstract: An integrated circuit (IC) is described. The IC includes a substrate having an active device having an active region. The IC also includes a middle-of-line (MOL) interconnect layer having a contact merge (CM) layer on a trench contact coupled to the active region of the active device. The IC further includes back-end-of-line (BEOL) interconnect layers on the MOL interconnect layer. The IC also includes a metal resistor in a via zero interconnect layer between a first BEOL interconnect and the MOL interconnect layer. The metal resistor is coupled to the active region through a first via zero on the CM layer, a second via zero on the metal resistor, and the first BEOL interconnect on the first via zero and the second via zero.
    Type: Application
    Filed: March 30, 2020
    Publication date: September 30, 2021
    Inventors: Junjing BAO, Giridhar NALLAPATI, Peijie FENG
  • Publication number: 20210167006
    Abstract: Disclosed are standard cells and methods for fabricating standard cells used in semiconductor device design and fabrication. Aspects disclosed include a standard cell having a plurality of wide metal lines. The wide metal lines being formed from copper. The standard cell also includes a plurality of narrow metal lines. The narrow metal lines are formed from a material that has a lower resistance than copper for line widths on the order of twelve nanometers or less.
    Type: Application
    Filed: December 3, 2019
    Publication date: June 3, 2021
    Inventors: Junjing BAO, John Jianhong ZHU, Giridhar NALLAPATI
  • Publication number: 20210143056
    Abstract: Certain aspects of the present disclosure generally relate to methods of fabricating integrated circuits. An example method generally includes forming a first cavity in a first layer disposed above a second layer and filling at least a portion of the first cavity with a dielectric material disposed above the second layer. The method further includes forming a second cavity in the dielectric material such that the dielectric material remaining in the first cavity is disposed on (e.g., conforms to) lateral surfaces of the first layer in the first cavity and forming a dielectric spacer comprising a segment of the remaining dielectric material in the first cavity. The method also includes forming a first conductor, in the first layer or the second layer, that is laterally spaced from a second conductor based at least in part on a width of the dielectric spacer.
    Type: Application
    Filed: November 7, 2019
    Publication date: May 13, 2021
    Inventors: John Jianhong ZHU, Junjing BAO, Giridhar NALLAPATI
  • Publication number: 20210125862
    Abstract: Aspects of the disclosure are directed to super via integration. In accordance with one aspect, an apparatus with super via integration in an integrated circuit including a first metal layer; a second metal layer, wherein the second metal layer is adjacent to the first metal layer; a third metal layer, wherein the third metal layer is adjacent to the second metal layer and is non-adjacent to the first metal layer; and a super via interconnecting the first metal layer and the third metal layer through a dielectric material, wherein the super via is filled with a selective metal.
    Type: Application
    Filed: October 25, 2019
    Publication date: April 29, 2021
    Inventors: John Jianhong ZHU, Junjing BAO, Jun CHEN, Giridhar NALLAPATI