Patents by Inventor Hosadurga K. Shobha

Hosadurga K. Shobha has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20140256153
    Abstract: Multilayer dielectric structures are provided having silicon nitride (SiN) and silicon oxynitride (SiNO) films for use as capping layers, liners, spacer barrier layers, and etch stop layers, and other components of semiconductor nano-devices. For example, a semiconductor structure includes a multilayer dielectric structure having multiple layers of dielectric material including one or more SiN layers and one or more SiNO layers. The layers of dielectric material in the multilayer dielectric structure have a thickness in a range of about 0.5 nanometers to about 3 nanometers.
    Type: Application
    Filed: August 28, 2013
    Publication date: September 11, 2014
    Applicant: International Business Machines Corporation
    Inventors: Alfred Grill, Seth L. Knupp, Son V. Nguyen, Vamsi K. Paruchuri, Deepika Priyadarshini, Hosadurga K. Shobha
  • Publication number: 20140252502
    Abstract: Multilayer dielectric structures are provided having silicon nitride (SiN) and silicon oxynitride (SiNO) films for use as capping layers, liners, spacer barrier layers, and etch stop layers, and other components of semiconductor nano-devices. For example, a semiconductor structure includes a multilayer dielectric structure having multiple layers of dielectric material including one or more SiN layers and one or more SiNO layers. The layers of dielectric material in the multilayer dielectric structure have a thickness in a range of about 0.5 nanometers to about 3 nanometers.
    Type: Application
    Filed: March 11, 2013
    Publication date: September 11, 2014
    Applicant: International Business Machines Corporation
    Inventors: Alfred Grill, Seth L. Knupp, Son V. Nguyen, Vamsi K. Paruchuri, Deepika Priyadarshini, Hosadurga K. Shobha
  • Publication number: 20140179119
    Abstract: A method of forming a carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. The dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen.
    Type: Application
    Filed: February 28, 2014
    Publication date: June 26, 2014
    Applicants: GLOBALFOUNDRIES, INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Patent number: 8664109
    Abstract: A method of forming a carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. The dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen.
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: March 4, 2014
    Assignees: International Business Machines Corporation, Global Foundries, Inc.
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Patent number: 8492289
    Abstract: A method of forming a barrier layer for metal interconnects of an integrated circuit device includes forming a first cap layer over a top surface of a conductive line of the integrated circuit device in a manner that facilitates a controllable dose of oxygen provided to the top surface of the conductive line, the conductive line comprising a metal formed over a seed layer that is an impurity alloy of the metal; and annealing the integrated circuit device so as to combine diffused impurity atoms of the seed layer with the controllable dose of oxygen, thereby forming an impurity oxide layer at an interface between the first cap layer and the top surface of the conductive line.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Takeshi Nogami, Hosadurga K. Shobha
  • Publication number: 20120202354
    Abstract: A method of forming a carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. The dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen.
    Type: Application
    Filed: April 11, 2012
    Publication date: August 9, 2012
    Applicants: Globalfoundries Inc., International Business Machines Corporation
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Publication number: 20120193767
    Abstract: A carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. The dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen. The carbon-rich silicon carbide-like dielectric film can be used as a dielectric cap layer in an interconnect structure.
    Type: Application
    Filed: April 11, 2012
    Publication date: August 2, 2012
    Applicants: Globalfoundries Inc., International Business Machines Corporation
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Patent number: 8212337
    Abstract: A carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. In some embodiments, the dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen. The carbon-rich silicon carbide-like dielectric film can be used as a dielectric cap layer in an interconnect structure. The inventive dielectric film is highly robust to UV curing and remains compressively stressed after UV curing. Moreover, the inventive dielectric film has good oxidation resistance and prevents metal diffusion into an interconnect dielectric layer. The present invention also provides an interconnect structure including the inventive dielectric film as a dielectric cap. A method of fabricating the inventive dielectric film is also provided.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: July 3, 2012
    Assignees: International Business Machines Corporation, Globalfoundries Inc.
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Publication number: 20120061838
    Abstract: A method of forming a barrier layer for metal interconnects of an integrated circuit device includes forming a first cap layer over a top surface of a conductive line of the integrated circuit device in a manner that facilitates a controllable dose of oxygen provided to the top surface of the conductive line, the conductive line comprising a metal formed over a seed layer that is an impurity alloy of the metal; and annealing the integrated circuit device so as to combine diffused impurity atoms of the seed layer with the controllable dose of oxygen, thereby forming an impurity oxide layer at an interface between the first cap layer and the top surface of the conductive line.
    Type: Application
    Filed: September 15, 2010
    Publication date: March 15, 2012
    Applicant: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Takeshi Nogami, Hosadurga K. Shobha
  • Patent number: 8129843
    Abstract: Plasma damage in ultra low k dielectric materials during formation of a dual damascene metal interconnect structure is reduced by providing a protective spacer on sidewalls of a line trench. A densified trench bottom region may be additionally formed directly beneath an exposed horizontal surface of the line trench. The protective spacer and/or the densified trench bottom region protects an ultra low k intermetal dielectric layer from plasma damage during a plasma strip process that is used to remove a disposable via fill plug employed in the dual damascene metal interconnect structure.
    Type: Grant
    Filed: August 10, 2010
    Date of Patent: March 6, 2012
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Sampath Purushothaman, Muthumanickam Sankarapandian, Hosadurga K. Shobha, Terry A. Spooner
  • Publication number: 20100320617
    Abstract: Plasma damage in ultra low k dielectric materials during formation of a dual damascene metal interconnect structure is reduced by providing a protective spacer on sidewalls of a line trench. A densified trench bottom region may be additionally formed directly beneath an exposed horizontal surface of the line trench. The protective spacer and/or the densified trench bottom region protects an ultra low k intermetal dielectric layer from plasma damage during a plasma strip process that is used to remove a disposable via fill plug employed in the dual damascene metal interconnect structure.
    Type: Application
    Filed: August 10, 2010
    Publication date: December 23, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John C. Arnold, Sampath Purushothaman, Muthumanickam Sankarapandian, Hosadurga K. Shobha, Terry A. Spooner
  • Patent number: 7781332
    Abstract: Plasma damage in ultra low k dielectric materials during formation of a dual damascene metal interconnect structure is reduced by providing a protective spacer on sidewalls of a line trench. A densified trench bottom region may be additionally formed directly beneath an exposed horizontal surface of the line trench. The protective spacer and/or the densified trench bottom region protects an ultra low k intermetal dielectric layer from plasma damage during a plasma strip process that is used to remove a disposable via fill plug employed in the dual damascene metal interconnect structure.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: August 24, 2010
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Sampath Purushothaman, Muthumanickam Sankarapandian, Hosadurga K. Shobha, Terry A. Spooner
  • Publication number: 20090179306
    Abstract: A carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. In some embodiments, the dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen. The carbon-rich silicon carbide-like dielectric film can be used as a dielectric cap layer in an interconnect structure. The inventive dielectric film is highly robust to UV curing and remains compressively stressed after UV curing. Moreover, the inventive dielectric film has good oxidation resistance and prevents metal diffusion into an interconnect dielectric layer. The present invention also provides an interconnect structure including the inventive dielectric film as a dielectric cap. A method of fabricating the inventive dielectric film is also provided.
    Type: Application
    Filed: January 10, 2008
    Publication date: July 16, 2009
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, ADVANCED MICRO DEVICES, INC.
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Publication number: 20090072401
    Abstract: Plasma damage in ultra low k dielectric materials during formation of a dual damascene metal interconnect structure is reduced by providing a protective spacer on sidewalls of a line trench. A densified trench bottom region may be additionally formed directly beneath an exposed horizontal surface of the line trench. The protective spacer and/or the densified trench bottom region protects an ultra low k intermetal dielectric layer from plasma damage during a plasma strip process that is used to remove a disposable via fill plug employed in the dual damascene metal interconnect structure.
    Type: Application
    Filed: September 19, 2007
    Publication date: March 19, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John C. Arnold, Sampath Purushothaman, Muthumanickam Sankarapandian, Hosadurga K. Shobha, Terry A. Spooner
  • Publication number: 20080173985
    Abstract: A dielectric cap and related methods are disclosed. In one embodiment, the dielectric cap includes a dielectric material having an optical band gap (e.g. greater than about 3.0 electron-Volts) to substantially block ultraviolet radiation during a curing treatment, and including nitrogen with electron donor, double bond electrons.
    Type: Application
    Filed: January 24, 2007
    Publication date: July 24, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael P. Belyansky, Griselda Bonilla, Xiao Hu Liu, Son Van Nguyen, Thomas M. Shaw, Hosadurga K. Shobha, Daewon Yang
  • Patent number: 7375178
    Abstract: High refractive index, melt processable polyphosphonates and methods for preparing the same are provided. These polymers are particularly useful for optical and ophthalmic parts, such as lenses. A method of preparing optical and ophthalmic lenses by injection molding the polymers of the present invention into the form of the optical or ophthalmic lenses is also provided.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: May 20, 2008
    Assignee: Essilor International
    Inventors: Hosadurga K. Shobha, Venkat Sekharipuram, James E. McGrath, Atul Bhatnagar
  • Patent number: 7067083
    Abstract: High refractive index, melt processable polyphosphonates and methods for preparing the same are provided. These polymers are particularly useful for optical and ophthalmic parts, such as lenses. A method of preparing optical and ophthalmic lenses by injection molding the polymers of the present invention into the form of the optical or ophthalmic lenses is also provided.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: June 27, 2006
    Assignees: Essilor International (Compagnie Generale D'Optique), Virginia Tech Intellectual Properties, Inc.
    Inventors: Venkat Sekharipuram, Hosadurga K. Shobha, James E. McGrath, Atul Bhatnagar
  • Patent number: 6943221
    Abstract: High refractive index, melt processable polyphosphonates and methods for preparing the same are provided. These polymers are particularly useful for optical and ophthalmic parts, such as lenses. A method of preparing optical and ophthalmic lenses by injection molding the polymers of the present invention into the form of the optical or ophthalmic lenses is also provided.
    Type: Grant
    Filed: August 18, 2003
    Date of Patent: September 13, 2005
    Assignee: Johnson & Johnson Vision Care, Inc.
    Inventors: Hosadurga K. Shobha, Venkat Sekharipuram, James E. McGrath, Atul Bhatnagar
  • Patent number: 6653439
    Abstract: High refractive index, melt processable polyphosphonates and methods for preparing the same are provided. These polymers are particularly useful for optical and ophthalmic parts, such as lenses. A method of preparing optical and ophthalmic lenses by injection molding the polymers of the present invention into the form of the optical or ophthalmic lenses is also provided.
    Type: Grant
    Filed: June 22, 2001
    Date of Patent: November 25, 2003
    Assignees: Johnson & Johnson Vision Care, Inc., Virginia Tech Intellectual Properties Inc.
    Inventors: Hosadurga K. Shobha, Venkat Sekharipuram, James E. McGrath, Atul Bhatnagar
  • Publication number: 20020058779
    Abstract: High refractive index, melt processable polyphosphonates and methods for preparing the same are provided. These polymers are particularly useful for optical and ophthalmic parts, such as lenses. A method of preparing optical and ophthalmic lenses by injection molding the polymers of the present invention into the form of the optical or ophthalmic lenses is also provided.
    Type: Application
    Filed: June 22, 2001
    Publication date: May 16, 2002
    Applicant: Johnson & Johnson Vision Products, Inc., and Virginia Tech Intellectual Properties, Inc.
    Inventors: Hosadurga K. Shobha, Venkat Sekharipuram, James E. McGrath, Atul Bhatnagar