Patents by Inventor Ju Liang

Ju Liang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20200135467
    Abstract: In an embodiment, a method includes: forming a first fin extending from a substrate, the substrate including silicon, the first fin including silicon germanium; forming an isolation region around the first fin, an oxide layer being formed on the first fin during formation of the isolation region; removing the oxide layer from the first fin with a hydrogen-based etching process, silicon at a surface of the first fin being terminated with hydrogen after the hydrogen-based etching process; desorbing the hydrogen from the silicon at the surface of the first fin to depassivate the silicon; and exchanging the depassivated silicon at the surface of the first fin with germanium at a subsurface of the first fin.
    Type: Application
    Filed: July 1, 2019
    Publication date: April 30, 2020
    Inventors: Ta-Chun Ma, Yi-Cheng Li, Pin-Ju Liang, Cheng-Po Chau, Jung-Jen Chen, Pei-Ren Jeng, Chii-Horng Li, Kei-Wei Chen, Cheng-Hsiung Yen
  • Publication number: 20200035506
    Abstract: A method includes depositing a silicon layer, which includes first portions over a plurality of strips, and second portions filled into trenches between the plurality of strips. The plurality of strips protrudes higher than a base structure. The method further includes performing an anneal to allow parts of the first portions of the silicon layer to migrate toward lower parts of the plurality of trenches, and performing an etching on the silicon layer to remove some portions of the silicon layer.
    Type: Application
    Filed: October 4, 2019
    Publication date: January 30, 2020
    Inventors: De-Wei Yu, Chien-Hao Chen, Chia-Ao Chang, Pin-Ju Liang
  • Patent number: 10535751
    Abstract: Embodiments disclosed herein relate generally to forming a gate layer in high aspect ratio trenches using a cyclic deposition-treatment process. In an embodiment, a method includes subjecting a substrate surface having at least one feature to a film deposition process to form a conformal film over a bottom surface and along sidewall surfaces of the feature, subjecting the substrate surface to a treatment process to form respective halogen surface layers or respective halogen-terminated layers on the conformal film formed at respective upper portions of the sidewall surfaces, and performing sequentially and repeatedly the film deposition process and the treatment process to fill the feature with the film.
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: January 14, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: De-Wei Yu, Chien-Hao Chen, Pin-Ju Liang, I-chen Yang
  • Publication number: 20200009110
    Abstract: The invention relates to a use of a compound for manufacturing a medicament for treating an allergic disease, wherein the compound is selected from the group consisting of ovatodiolide, 1?-acetoxychavicol acetate, zerumbone and combination thereof. Also provided is a method and a composition for treating an allergic disease.
    Type: Application
    Filed: July 8, 2019
    Publication date: January 9, 2020
    Applicant: Arjil Biotech Holding Company Limited
    Inventors: YEH B WU, JIR-MEHNG LO, HUI JU LIANG, PEI-HSIN LIN
  • Patent number: 10504747
    Abstract: A method includes depositing a silicon layer, which includes first portions over a plurality of strips, and second portions filled into trenches between the plurality of strips. The plurality of strips protrudes higher than a base structure. The method further includes performing an anneal to allow parts of the first portions of the silicon layer to migrate toward lower parts of the plurality of trenches, and performing an etching on the silicon layer to remove some portions of the silicon layer.
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: December 10, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: De-Wei Yu, Chien-Hao Chen, Chia-Ao Chang, Pin-Ju Liang
  • Publication number: 20190371914
    Abstract: Embodiments disclosed herein relate generally to forming a gate layer in high aspect ratio trenches using a cyclic deposition-treatment process. In an embodiment, a method includes subjecting a substrate surface having at least one feature to a film deposition process to form a conformal film over a bottom surface and along sidewall surfaces of the feature, subjecting the substrate surface to a treatment process to form respective halogen surface layers or respective halogen-terminated layers on the conformal film formed at respective upper portions of the sidewall surfaces, and performing sequentially and repeatedly the film deposition process and the treatment process to fill the feature with the film.
    Type: Application
    Filed: May 30, 2018
    Publication date: December 5, 2019
    Inventors: De-Wei Yu, Chien-Hao Chen, Pin-Ju Liang, I-chen Yang
  • Patent number: 10347741
    Abstract: Embodiments disclosed herein relate generally to forming a gate layer in high aspect ratio trenches using a cyclic deposition-etch process. In an embodiment, a method for semiconductor processing is provided. The method includes performing a cyclic deposition-etch process to form a conformal film over a bottom surface and along sidewall surfaces of a feature on a substrate. The method includes reflowing the conformal film. The method includes forming a cap layer on the reflowed film. The method includes depositing a crystalline film on the cap layer. The method includes crystallizing the reflowed film and the cap layer after depositing the crystalline film.
    Type: Grant
    Filed: May 29, 2018
    Date of Patent: July 9, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pin-Ju Liang, De-Wei Yu, Yi-Cheng Li, Chien-Hao Chen
  • Publication number: 20190103284
    Abstract: A method includes depositing a silicon layer, which includes first portions over a plurality of strips, and second portions filled into trenches between the plurality of strips. The plurality of strips protrudes higher than a base structure. The method further includes performing an anneal to allow parts of the first portions of the silicon layer to migrate toward lower parts of the plurality of trenches, and performing an etching on the silicon layer to remove some portions of the silicon layer.
    Type: Application
    Filed: September 29, 2017
    Publication date: April 4, 2019
    Inventors: De-Wei Yu, Chien-Hao Chen, Chia-Ao Chang, Pin-Ju Liang
  • Publication number: 20180353521
    Abstract: The disclosure is related to a method for treating stroke or reducing nerve injury, which comprises administering to a subject in need thereof a therapeutically effective amount of a compound isolated and purified from Antrodia camphorata fruiting body by methanol extraction. In particular, the compound is dehydroeburicoic acid, dehydrosulphurenic acid or 4,7-Dimethoxy-5-methyl-1,3-benzodioxole.
    Type: Application
    Filed: June 12, 2018
    Publication date: December 13, 2018
    Applicant: Arjil Biotech Holding Company Limited
    Inventors: Yeh B WU, Jir-Mehng LO, Ying Chu SHIH, Hui Ju LIANG
  • Publication number: 20180353520
    Abstract: The present invention provides a method of treating stroke or reducing nerve injury comprising administering to a subject in need thereof a pharmaceutical composition comprising a therapeutically effective amount of a compound of formula (I) or formula (II) wherein W represents R1 represents R2 represents R3 represents R4 represents and R represent H or alkyl groups of C1-C6.
    Type: Application
    Filed: June 12, 2017
    Publication date: December 13, 2018
    Applicant: Arjil Biotech Holding Company Limited
    Inventors: Yeh B WU, Jir-Mehng LO, Ying Chu SHIH, Hui Ju LIANG
  • Patent number: 9266758
    Abstract: A process for treating halide contaminated waste includes bringing the contaminated waste into contact with a reductone in an aqueous solution to obtain a mixture having a pH value, and adjusting the pH value to permit dissociation of two hydrogen ions from an enediol group of the reductone and to permit subsequent reaction of the reductone with an electrophilic site of halide contained in the contaminated waste. Halide can thus be removed.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: February 23, 2016
    Assignee: NATIONAL CHUNG-HSING UNIVERSITY
    Inventors: Chen-Ju Liang, Ya-Ting Lin
  • Publication number: 20150051433
    Abstract: A process for treating halide contaminated waste includes bringing the contaminated waste into contact with a reductone in an aqueous solution to obtain a mixture having a pH value, and adjusting the pH value to permit dissociation of two hydrogen ions from an enediol group of the reductone and to permit subsequent reaction of the reductone with an electrophilic site of halide contained in the contaminated waste. Halide can thus be removed.
    Type: Application
    Filed: February 25, 2014
    Publication date: February 19, 2015
    Applicant: NATIONAL CHUNG-HSING UNIVERSITY
    Inventors: Chen-Ju Liang, Ya-Ting Lin
  • Patent number: 8959262
    Abstract: A method for accelerating signal transmission in a USB network protocol architecture having a USB server, a processing device and a peripheral device connected to the USB server. The method includes: intercepting request signals sent from the processing device according to the USB network protocol; sending, by the USB server, virtual request signals to the peripheral device so as to cause the peripheral device to generate control signals corresponding to the virtual request signals; receiving, by the USB server, the control signals from the peripheral device, and transmitting, by the USB server, the control signals to the processing device; and matching, by the processing device, the control signals and the intercepted request signals so as to perform operations corresponding to the control signals. Therefore, the present invention eliminates the need to wait for the arrival of request signals before making responses, thereby accelerating the speed of signal transmission.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: February 17, 2015
    Assignee: KCodes Corporation
    Inventors: Tang-En Chiu, Yung-Ju Liang, Ze-Kai Hsiau
  • Publication number: 20150026196
    Abstract: Among other things, one or more techniques and/or systems are provided for location-aware content detection. In particular, content may be grouped into topic clusters (e.g., images, articles, and/or websites may be grouped into a football cluster, an earthquake cluster, etc.). A topic of a cluster may be assigned a global ranking (e.g., based upon an importance of a topic on a global scale) and/or local rankings for local regions (e.g., based upon importance of a topic to various local regions). A local ranking may be based upon user interaction with content associated with the topic (e.g., many users from Japan may be reading about the earthquake). In this way, content may be provided to users based upon global rankings and/or local rankings (e.g., content from around the world about the earthquake may be presented to users in Japan and/or other areas that have expressed interest in the earthquake).
    Type: Application
    Filed: October 6, 2014
    Publication date: January 22, 2015
    Inventors: Bangyong Liang, Ju Liang, Jin Jiang, Xiaosong Yang
  • Patent number: 8851228
    Abstract: A speaker diaphragm structure includes a speaker diaphragm and a coating formed on the speaker diaphragm and is composed of at least one dense layer and relatively porous layer alternately arranged with respect to the at least one dense layer.
    Type: Grant
    Filed: July 11, 2013
    Date of Patent: October 7, 2014
    Assignee: Feng Chia University
    Inventors: Ju-Liang He, Po-Yu Chen
  • Publication number: 20140110397
    Abstract: This invention proposes a flexible electrical heating element comprising a substrate, a metal interlayer coating and a far-infrared emissive carbon film. The flexible electrical heating element utilizes a low-cost and environmental friendly vacuum coating technique to deposit the metal interlayer coating and the far-infrared emissive carbon film on the flexible and insulating substrate which can provide uniform heating, and the far-infrared emissive carbon film can emit far-infrared.
    Type: Application
    Filed: April 23, 2013
    Publication date: April 24, 2014
    Applicant: Feng Chia University
    Inventors: Ju-Liang He, Chiao-Chih Hsu, Jen-Tsung Wang, Chun-Ming Chen
  • Publication number: 20140054104
    Abstract: A speaker diaphragm structure includes a speaker diaphragm and a coating formed on the speaker diaphragm and is composed of at least one dense layer and relatively porous layer alternately arranged with respect to the at least one dense layer.
    Type: Application
    Filed: July 11, 2013
    Publication date: February 27, 2014
    Inventors: Ju-Liang HE, Po-Yu CHEN
  • Patent number: 8597693
    Abstract: A pharmaceutical composition with an immunomodulating function is provided, including an extract of Bupleurum obtained by grinding the Bupleurum, adding the ground Bupleurum to a solvent and isolating the extract from the solvent, wherein the Bupleurum comprises Bupleurum krlovianum, Bupleurum longiradiatum, Bupleurum smithii, Bupleurum pusillum, Bupleurum longicaule, Bupleurum salicifolium, Bupleurum scorzonerifolium and Bupleurums with at least one of the nucleotide sequences selected from a group consisting of SEQ ID NOs. 1˜6 and a nucleotide sequence with no more than 1% of the nucleotide sequence divergences of SEQ ID NOs. 1˜6, or combinations thereof.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: December 3, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Ying-Chu Shih, Lain-Tze Lee, Bie-Shung Tsai, Jir-Mehng Lo, Tien-Soung Tong, Jenn-Line Sheu, Kuo-Kuei Huang, Ying-Fei Tsai, Yi-Ching Lee, Hui-Ju Liang, Jui-Hung Yen, Cheng-Yu Lee
  • Publication number: 20130291445
    Abstract: A coating method on diamond abrasive grains is used to form a conductive film on diamond abrasive grains. The conductive film has chemical composition gradient giving the diamond abrasive grain an outwardly increasing electrical conductibility as a function of film thickness. The subsequent electroplating layer can therefore more effectively embed the modified diamond abrasive grains, whilst the adhesion/bonding strength between substrate (work piece for electroplating) and the diamond abrasive grains is improved.
    Type: Application
    Filed: May 1, 2012
    Publication date: November 7, 2013
    Applicant: SIGMA INNOVATION TECHNOLOGY INC.
    Inventors: WEN-TUNG CHEN, JU-LIANG HE
  • Publication number: 20130159566
    Abstract: A method for accelerating signal transmission in a USB network protocol architecture having a USB server, a processing device and a peripheral device connected to the USB server. The method includes: intercepting request signals sent from the processing device according to the USB network protocol; sending, by the USB server, virtual request signals to the peripheral device so as to cause the peripheral device to generate control signals corresponding to the virtual request signals; receiving, by the USB server, the control signals from the peripheral device, and transmitting, by the USB server, the control signals to the processing device; and matching, by the processing device, the control signals and the intercepted request signals so as to perform operations corresponding to the control signals. Therefore, the present invention eliminates the need to wait for the arrival of request signals before making responses, thereby accelerating the speed of signal transmission.
    Type: Application
    Filed: August 1, 2012
    Publication date: June 20, 2013
    Applicant: KCodes Corporation
    Inventors: Tang-En CHIU, Yung-Ju LIANG, Ze-Kai HSIAU