Patents by Inventor Kandabara Tapily

Kandabara Tapily has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240153781
    Abstract: Embodiments of methods are provided for thermal dry etching of a ruthenium (Ru) metal layer. In the disclosed embodiments, a substrate containing a Ru metal layer formed thereon is exposed to a gas pulse sequence, while the substrate is held at a relatively high substrate temperature (e.g., a temperature greater than or equal to about 160° C.), to provide thermal etching of the Ru metal layer. As described further herein, the gas pulse sequence may generally include a plurality of gas pulses, which are supplied to the substrate sequentially with substantially no overlap between gas pulses. The gas pulses supplied to the substrate form: (i) volatile reaction products that are vaporized from the Ru surface, and (ii) non-volatile oxide surface layers that are removed from the Ru surface by the next gas pulse, resulting in atomic layer etching (ALE) of the Ru metal layer.
    Type: Application
    Filed: October 31, 2023
    Publication date: May 9, 2024
    Inventors: Hisashi Higuchi, Kai-Hung Yu, Cory Wajda, Gyanaranjan Pattanaik, Kandabara Tapily, Gerrit Leusink, Robert Clark
  • Publication number: 20240128308
    Abstract: A method for fabricating a ferroelectric device includes providing a lower electrode layer on a substrate, forming a retention enhancement layer by oxidizing a surface of the lower electrode layer using a gas phase oxidation process, and depositing a ferroelectric high-k metal oxide layer over the retention enhancement layer on the lower electrode layer using a vapor deposition process. The retention enhancement layer on the lower electrode layer increases the retention performance and reliability of the ferroelectric device.
    Type: Application
    Filed: October 16, 2023
    Publication date: April 18, 2024
    Inventors: Dina Triyoso, Robert Clark, Kandabara Tapily, Tony Schenk, Alireza Kashir, Stefan Ferdinand Mueller
  • Publication number: 20240085793
    Abstract: Various embodiments of methods are provided for forming a moisture barrier layer on an EUV-active photoresist film before patterning the EUV-active photoresist film with EUV lithography. According to one embodiment, the methods disclosed herein may form an EUV-active photoresist film on a surface of a semiconductor substrate and a moisture barrier layer containing a hydrocarbon polymer on the EUV-active photoresist film before the EUV-active photoresist film is patterned with EUV lithography to form a patterned photoresist on the substrate surface. In some embodiments, a first hydrocarbon polymer layer may be formed on the substrate surface before an EUV-active photoresist film is formed on the first hydrocarbon polymer layer.
    Type: Application
    Filed: June 30, 2023
    Publication date: March 14, 2024
    Inventors: Kandabara Tapily, Nobuo Matsuki
  • Publication number: 20240071984
    Abstract: Devices and methods for forming semiconductor devices are disclosed. The semiconductor device can include a plurality of semiconductor wafers. The plurality of semiconductor wafers can have a dielectric bonding layer disposed thereupon. The dielectric bonding layers can be treated to increase a bonding energy with other semiconductor wafers. A wafer having a treatment applied to a bonding layer can be bonded to another wafer.
    Type: Application
    Filed: August 23, 2022
    Publication date: February 29, 2024
    Applicant: Tokyo Electron Limited
    Inventors: Kandabara Tapily, Soo Doo Chae, Satohiko Hoshino, Hojin Kim, Adam Gildea
  • Patent number: 11901360
    Abstract: In a method of forming a semiconductor device, a plurality of transistor pairs is formed to be stacked over a substrate. The plurality of transistor pairs have a plurality of gate electrodes that are stacked over the substrate and electrically coupled to gate structures of the plurality of transistor pairs, and a plurality of source/drain (S/D) local interconnects that are stacked over the substrate and electrically coupled to source regions and drain regions of the plurality of transistor pairs. A sequence of vertical and lateral etch steps are performed to etch the plurality of the gate electrodes and the plurality of S/D local interconnects so that the plurality of the gate electrodes and the plurality of S/D local interconnects have a staircase configuration.
    Type: Grant
    Filed: November 23, 2021
    Date of Patent: February 13, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Publication number: 20240045332
    Abstract: Embodiments of methods are provided to form an EUV-active photoresist film for use in EUV photolithographic processes. The methods disclosed herein may generally include forming an extreme ultraviolet (EUV)-active photoresist film on a surface of the semiconductor substrate, where the EUV-active photoresist film is an organometallic oxide with polymerized carbon-carbon bonds, and patterning the EUV-active photoresist film with EUV lithography to form a patterned photoresist on the surface of the semiconductor substrate.
    Type: Application
    Filed: June 29, 2023
    Publication date: February 8, 2024
    Inventors: Kandabara Tapily, Nobuo Matsuki
  • Publication number: 20240047342
    Abstract: A semiconductor device includes a field-effect transistor (FET) having a source/drain (S/D) structure and an interconnect structure in contact with the S/D structure. The interconnect structure has a barrier film at a surface of the interconnect structure separating the interconnect structure from materials surrounding the interconnect structure. A first portion of the barrier film covers a first interface between the interconnect structure and the S/ID structure. A second portion of the barrier film covers a second interface between the interconnect structure and dielectric materials adjacent to the interconnect structure. The first portion of the barrier film is thicker than the second portion of the barrier.
    Type: Application
    Filed: August 8, 2022
    Publication date: February 8, 2024
    Applicant: Tokyo Electron Limited
    Inventors: Jeffrey SMITH, Hiroaki NIIMI, Kandabara TAPILY, Daniel CHANEMOUGAME, Lars LIEBMANN
  • Publication number: 20230352343
    Abstract: A process includes forming, over a dielectric layer, a hardmask stack including a first layer below a second layer below a third layer below a fourth layer. The first and third layers include a different hardmask material from the second and fourth layers. A trench pattern including sidewall spacer structures is formed over the hardmask stack. The fourth layer is etched in a first region. The fourth and third layers are etched in a second region. The fourth and third layers are etched in a third region. The fourth layer is etched in a fourth region. The second and first layers are etched in the second and third regions. The third layer is etched in the first and fourth regions. In the dielectric layer, trenches are formed in the first and fourth regions, and via openings, deeper than the trenches, are formed in the second and third regions.
    Type: Application
    Filed: April 27, 2023
    Publication date: November 2, 2023
    Applicant: Tokyo Electron Limited
    Inventors: Jeffrey SMITH, David POWER, Eric Chih-Fang LIU, Anton J. DEVILLIERS, Kandabara TAPILY, Jodi GRZESKOWIAK, David CONKLIN, Michael MURPHY
  • Patent number: 11769677
    Abstract: A substrate processing method includes (a) providing a substrate in a substrate processing tool, the substrate containing an exposed surface of a first material layer and an exposed surface of a second material layer; (b) forming a self-assembled monolayer (SAM) on the substrate in a first substrate processing chamber (SPC); (c) transferring the substrate from the first SPC through a substrate transfer chamber to a second SPC; (d) depositing a film selectively on the first material layer and film nuclei on the SAM in the second SPC; (e) transferring, after selectively depositing the film on the first material layer, the substrate from the second SPC through the substrate transfer chamber to a third SPC; (f) removing the film nuclei from the SAM by etching in the third SPC; and repeating (b), (c), (d), (e) and (f) sequentially at least once.
    Type: Grant
    Filed: February 28, 2022
    Date of Patent: September 26, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara Tapily, Robert Clark
  • Publication number: 20230290677
    Abstract: A method of forming a semiconductor device with air gaps for low capacitance interconnects. The method includes providing a substrate containing raised metal features with a top area and a sidewall, and a void between the raised metal features, filling the void with a sacrificial fill material, and selectively depositing a blocking layer on the sacrificial fill material. The method further includes depositing a cap layer on the top area of the raised metal features, where the cap layer has an overhang that extends past the sidewall, removing the blocking layer and the sacrificial fill material between the raised metal features, and depositing a dielectric film, where the dielectric film forms an air gap between the raised metal features below the overhang.
    Type: Application
    Filed: March 7, 2023
    Publication date: September 14, 2023
    Inventors: Kandabara Tapily, Jeffrey Smith, Robert D. Clark
  • Publication number: 20230274932
    Abstract: A method for processing a substrate includes treating the substrate with a small molecular inhibitor (SMI), the substrate including a recess formed in a dielectric layer and a first metal layer in the recess, the SMI covering a surface of the first metal layer. The method further includes, after treating the substrate with the SMI, treating the substrate with a large molecular inhibitor (LMI), the LMI covering sidewalls of the dielectric layer in the recess. The method further includes heating the substrate to remove the SMI from the first metal layer and to expose the first metal layer in the recess, where the LMI remains on the sidewalls after removing the SMI from the first metal layer. The method further includes depositing a second metal over the first metal layer in the recess, where the LMI covering the sidewalls prevents deposition of the second metal on the dielectric layer.
    Type: Application
    Filed: January 18, 2023
    Publication date: August 31, 2023
    Inventors: Kai-Hung Yu, Robert D. Clark, Ryota Yonezawa, Hiroaki Niimi, Hidenao Suzuki, Kandabara Tapily, Takahiro Miyahara, Cory Wajda
  • Patent number: 11705369
    Abstract: A semiconductor device includes conductive structures formed in a first dielectric layer, a conductive cap layer selectively positioned over the conductive structures and the first dielectric layer with a top surface and sidewalls, a second dielectric layer selectively positioned over the first dielectric layer and disposed between the sidewalls of the conductive cap layer, a third dielectric layer selectively positioned over the second dielectric layer and disposed between the sidewalls of the conductive cap layer, a fourth dielectric layer arranged over the conductive structures and the third dielectric layer, and an interconnect structure formed in the fourth dielectric layer. The interconnect structure includes a trench structure and a via structure that is positioned below the trench structure and connected to the trench structure. The via structure includes a first portion positioned over the conductive cap layer and a second portion disposed over the first portion and the third dielectric layer.
    Type: Grant
    Filed: April 6, 2021
    Date of Patent: July 18, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara Tapily, Jeffrey Smith
  • Patent number: 11700778
    Abstract: A method of controlling the forming voltage of a dielectric film in a resistive random access memory (ReRAM) device. The method includes depositing a dielectric film contains intrinsic defects on a substrate, forming a plasma-excited treatment gas containing H2 gas, and exposing the dielectric film to the plasma-excited treatment gas to create additional defects in the dielectric film without substantially changing a physical thickness of the dielectric film, where the additional defects lower the forming voltage needed for generating an electrically conducting filament across the dielectric film. The dielectric film can include a metal oxide film and the plasma-excited treatment gas may be formed using a microwave plasma source.
    Type: Grant
    Filed: April 9, 2021
    Date of Patent: July 11, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Steven Consiglio, Cory Wajda, Kandabara Tapily, Takaaki Tsunomura, Takashi Ando, Paul C. Jamison, Eduard A. Cartier, Vijay Narayanan, Marinus J. P. Hopstaken
  • Patent number: 11676968
    Abstract: In method for forming a semiconductor device, a first opening is formed in a dielectric stack that has a cylinder shape with a first sidewall. A first conductive layer is deposited along the first sidewall of the first opening and a first insulating layer is deposited along an inner sidewall of the first conductive layer. The dielectric stack is then etched along an inner sidewall of the first insulating layer so as to form a second opening that extends into the dielectric stack with a second sidewall. A second conductive layer is further formed along the second sidewall of the second opening and a second insulating layer is formed along an inner sidewall of the second conductive layer. A bottom of the second conductive layer is positioned below a bottom of the first conductive layer to form a staggered configuration.
    Type: Grant
    Filed: January 6, 2022
    Date of Patent: June 13, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Patent number: 11658068
    Abstract: Methods are provided for selective film deposition. One method includes providing a substrate containing a dielectric material and a metal layer, the metal layer having an oxidized metal layer thereon, coating the substrate with a metal-containing catalyst layer, treating the substrate with an alcohol solution that removes the oxidized metal layer from the metal layer along with the metal-containing catalyst layer on the oxidized metal layer, and exposing the substrate to a process gas containing a silanol gas for a time period that selectively deposits a SiO2 film on the metal-containing catalyst layer on the dielectric material.
    Type: Grant
    Filed: November 23, 2020
    Date of Patent: May 23, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Kandabara Tapily
  • Patent number: 11646227
    Abstract: A method of fabricating air gaps in advanced semiconductor devices for low capacitance interconnects. The method includes exposing a substrate to a gas pulse sequence to deposit a material that forms an air gap between raised features.
    Type: Grant
    Filed: January 10, 2022
    Date of Patent: May 9, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Kandabara Tapily
  • Patent number: 11621190
    Abstract: A method for filling recessed features with a low-resistivity metal. The method includes providing a patterned substrate containing a recessed feature formed in a first layer and a second layer that is exposed in the recessed feature, and pre-treating the substrate with a surface modifier that increases metal deposition selectivity on the second layer relative to on the first layer, depositing a metal layer on the substrate by vapor phase deposition, where the metal layer is preferentially deposited on the second layer in the recessed feature, and removing metal nuclei deposited on the first layer, including on a field area and on sidewalls of the first layer in the recessed feature, to selectively form the metal layer on the second layer in the recessed feature. The steps of pre-treating, depositing and removing may be repeated at least once to increase a thickness of the metal layer in the recessed feature.
    Type: Grant
    Filed: May 28, 2021
    Date of Patent: April 4, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Kai-Hung Yu, David O'Meara, Nicholas Joy, Gyanaranjan Pattanaik, Robert Clark, Kandabara Tapily, Takahiro Hakamata, Cory Wajda, Gerrit Leusink
  • Patent number: 11616020
    Abstract: A semiconductor device includes a transistor stack. The transistor stack has a plurality of transistors that are stacked over a substrate. Each of the plurality of transistors includes a channel region stacked over the substrate and extending in a direction parallel to the substrate, a gate structure stacked over the substrate and surrounding the channel region of each of the plurality of transistors, and source/drain (S/D) regions stacked over the substrate and further positioned at two ends of the channel region of each of the plurality of transistors. The semiconductor device also includes one or more conductive planes formed over the substrate. The one or more conductive planes are positioned adjacent to the transistor stack, span a height of the transistor stack, and are electrically coupled to the transistor stack.
    Type: Grant
    Filed: July 21, 2021
    Date of Patent: March 28, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Patent number: 11616053
    Abstract: A semiconductor device includes: a substrate having a surface, the surface being planar; a first logic gate provided on the substrate and comprising a first field effect transistor (FET) having a first channel, and a first pair of source-drain regions; a second logic gate stacked over the first logic gate along a vertical direction perpendicular to the surface of the substrate, the second logic gate comprising a second FET having a second channel, and a second pair of source-drain regions; and a contact electrically connecting a source-drain region of the first FET to a source-drain region of the second FET such that at least a portion of current flowing between the first and second logic gate will flow along said vertical direction.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: March 28, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey Smith, Anton J. Devilliers, Kandabara Tapily
  • Publication number: 20230075263
    Abstract: A semiconductor package is disclosed. The semiconductor package includes a first substrate including a first interconnect structure and a first bonding layer adjacent the first interconnect structure. The semiconductor package includes a second substrate including a second interconnect structure and a second bonding layer adjacent the second interconnect structure. The first bonding layer and second bonding layer each include a metal oxide.
    Type: Application
    Filed: July 13, 2022
    Publication date: March 9, 2023
    Applicant: Tokyo Electron Limited
    Inventors: Soo Doo Chae, Sang Cheol Han, Hojin Kim, Kandabara Tapily, Satohiko Hoshino, Adam Gildea, Gerrit Leusink