Patents by Inventor Kangguo Cheng

Kangguo Cheng has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230095140
    Abstract: A semiconductor structure is provided having improved electrostatic contact close to the dielectric pillar that separates a first device region from a second device region. The semiconductor structure includes a dielectric pillar located between a first vertical nanosheet stack of suspended semiconductor channel material nanosheets and a second vertical nanosheet stack of suspended semiconductor channel material nanosheets. Horizontal dielectric bridge structures can be located in the first and second device regions. The horizontal bridge structures connect each of the suspended semiconductor channel material nanosheets to a respective sidewall of the dielectric pillar. A dielectric spacer structure can laterally surround a lower portion of the dielectric pillar and be present in a semiconductor substrate. In some embodiments, the horizontal dielectric bridge structures can be omitted.
    Type: Application
    Filed: September 17, 2021
    Publication date: March 30, 2023
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, CHANRO PARK
  • Publication number: 20230095508
    Abstract: Embodiments disclosed herein include a semiconductor structure for reducing contact to contact shorting. The semiconductor structure may include a gate cut region with a liner and a dielectric core confined within a first lateral side of the liner and a second lateral side of the liner. The semiconductor structure may also include a first source/drain (S/D) contact overlapping the first lateral side and the dielectric core. The first S/D may include a line-end that contacts the second lateral side of the liner.
    Type: Application
    Filed: September 30, 2021
    Publication date: March 30, 2023
    Inventors: Ruilong Xie, Indira Seshadri, Eric Miller, Kangguo Cheng
  • Publication number: 20230099156
    Abstract: An approach provides a semiconductor structure with a first crystalline surface orientation and a first nanosheet stack on the semiconductor substrate with the first crystalline surface orientation. The semiconductor substrate structure includes a second nanosheet stack with a second crystalline surface orientation above the first nanosheet stack, wherein the first nanosheet stack and the second nanosheet stack are separated by a dielectric material.
    Type: Application
    Filed: September 22, 2021
    Publication date: March 30, 2023
    Inventors: Kangguo Cheng, SHOGO MOCHIZUKI, JUNTAO LI
  • Publication number: 20230096125
    Abstract: Embodiments of the invention include a semiconductor device having a fin-shaped channel with a bottom surface, sidewalls and a top surface. A first source or drain (S/D) region is communicatively coupled to the fin-shaped channel, and a sub-channel region is between the bottom surface of the fin-shaped channel and a substrate. A U-shaped dielectric region within a first portion of the sub-channel region, wherein the U-shaped dielectric region includes a bottom isolation layer and a first inner spacer region. A wrap-around gate structure extends around the bottom surface, the sidewalls and the top surface of the fin-shaped channel, wherein a bottom region of the wrap-around gate structure is within a second portion of the sub-channel region.
    Type: Application
    Filed: September 24, 2021
    Publication date: March 30, 2023
    Inventors: Choonghyun Lee, Chanro Park, Ruilong Xie, Kangguo Cheng
  • Publication number: 20230099643
    Abstract: Semiconductor devices and methods of forming the same include a first device region, a second device region, and an inter-device dielectric spacer between the first device region and the second device region. The first device region includes a first device channel, a first-polarity work function metal layer on the first device channel, and a second-polarity work function metal layer on the first device channel. The second device region include a second device channel, and a second-polarity work function metal layer on the second device channel.
    Type: Application
    Filed: September 30, 2021
    Publication date: March 30, 2023
    Inventors: Julien Frougier, Huimei Zhou, Ruilong Xie, Chanro Park, Kangguo Cheng
  • Publication number: 20230099767
    Abstract: A vertical field-effect transistor device includes a substrate comprising a semiconductor material, and a set of fins formed from the semiconductor material and extending vertically with respect to the substrate. The vertical field-effect transistor device further includes gate structures disposed on the substrate and on a portion of sidewalls of the set of fins, spacers disposed on the gate structures and on a remaining portion of the sidewalls of the set of fins, source/drain regions disposed over top portions of the set of fins, and a metal liner disposed adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions. A portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin.
    Type: Application
    Filed: September 21, 2021
    Publication date: March 30, 2023
    Inventors: Kangguo Cheng, Shogo Mochizuki, Juntao Li
  • Publication number: 20230095447
    Abstract: A semiconductor structure includes a substrate; bottom dielectric isolation (BDI) on the substrate; a first source/drain region on the BDI; and a nanosheet stack on the BDI. The nanosheet stack includes gate stack layers; semiconductor nanosheets interleaved with the gate stack layers and contacting the first source/drain region; and first inner spacers adjacent to the first source/drain region and separating the first source/drain region from the gate stack layers. The structure also includes a second source/drain region contacting the semiconductor nanosheet and extending from the top of the nanosheet stack down through the BDI to the substrate. Accordingly, the nanosheet stack also includes second inner spacers in the nanosheet stack adjacent to the second source/drain region and separating the second source/drain region from the gate stack layers.
    Type: Application
    Filed: September 29, 2021
    Publication date: March 30, 2023
    Inventors: Ruilong Xie, Julien Frougier, Kangguo Cheng, CHANRO PARK
  • Publication number: 20230098033
    Abstract: A device includes a plurality of magnetic random-access memory (MRAM) cells in a first region of the device; and a dummy MRAM pillar disposed in a second region of the device, wherein the dummy MRAM pillar is not connected to an active metal feature.
    Type: Application
    Filed: September 27, 2021
    Publication date: March 30, 2023
    Inventors: Ruilong Xie, Kangguo Cheng, Dimitri Houssameddine, Julien Frougier
  • Publication number: 20230096174
    Abstract: A method is presented for reducing element segregation of a phase change material (PCM). The method includes forming a bottom electrode, constructing a layered stack over the bottom electrode, the layered stack including the PCM separated by one or more electrically conductive and chemically stable materials, and forming a top electrode over the layered stack. The PCM is Ge—Sb—Te (germanium-antimony-tellurium or GST) and the one or more electrically conductive and chemically stable materials are titanium nitride (TiN) segments.
    Type: Application
    Filed: December 6, 2022
    Publication date: March 30, 2023
    Inventor: Kangguo Cheng
  • Patent number: 11615992
    Abstract: A method of forming vertical transport field effect transistor (VTFET) devices is provided. The method includes forming a plurality of vertical fins on an upper insulating layer of a dual insulator layer semiconductor-on-insulator (SeOI) substrate, and forming two masking blocks on the plurality of vertical fins, wherein a portion of a protective layer and a fin template on each of the plurality of vertical fins is exposed between the two masking blocks. The method further includes removing a portion of the upper insulating layer between the two masking blocks to form a first cavity beneath the plurality of vertical fins, and forming a first bottom source/drain in the first cavity below the plurality of vertical fins. The method further includes replacing the two masking blocks with a masking layer patterned to have two mask openings above portions of the upper insulating layer adjacent to the first bottom source/drain.
    Type: Grant
    Filed: January 15, 2020
    Date of Patent: March 28, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eric Miller, Marc A. Bergendahl, Kangguo Cheng, John Sporre, Gauri Karve, Fee Li Lie
  • Patent number: 11615988
    Abstract: FinFET devices and processes to prevent fin or gate collapse (e.g., flopover) in finFET devices are provided. The method includes forming a first set of trenches in a semiconductor material and filling the first set of trenches with insulator material. The method further includes forming a second set of trenches in the semiconductor material, alternating with the first set of trenches that are filled. The second set of trenches form semiconductor structures which have a dimension of fin structures. The method further includes filling the second set of trenches with insulator material. The method further includes recessing the insulator material within the first set of trenches and the second set of trenches to form the fin structures.
    Type: Grant
    Filed: September 23, 2021
    Date of Patent: March 28, 2023
    Assignee: Tessera, LLC
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Publication number: 20230086181
    Abstract: A cross-point SOT-MRAM cell includes: a first SHE write line; a second SHE write line non-colinear to the first SHE write line; a cross-point free layer comprising a first free layer, a second free layer, and a dielectric layer disposed between the first and the second free layers, the cross-point free layer configured to store a magnetic bit and located between and in contact with both the first SHE write line and the second SHE write line; and a remote sensing MTJ located in a vicinity of the cross-point free layer, wherein a free layer sensor of the remote sensing MTJ is in contact with one of the first SHE write line and the second SHE write line.
    Type: Application
    Filed: September 23, 2021
    Publication date: March 23, 2023
    Inventors: Julien Frougier, Dimitri Houssameddine, Ruilong Xie, Kangguo Cheng, Michael Rizzolo
  • Publication number: 20230089791
    Abstract: A memory device is provided that includes a method and structure for forming a resistive memory (RRAM) which has a gradual instead of abrupt change of resistance during programming, rendering it suitable for analog computing. In a first embodiment: One electrode of the inventive RRAM comprises a metal-nitride material (e.g., titanium nitride (TiN)) with gradually changing concentration of a metal composition (e.g., titanium). Different Ti concentrations in the electrode results in different concentration of oxygen vacancy in the corresponding section of the RRAM thereby exhibiting a gradual change of resistance dependent upon an applied voltage. The total conductance of the RRAM is the sum of conductance of each section of the RRAM. In a second embodiment: a RRAM with one electrode having multiple forks of electrodes with different composition concentration and thus different switching behaviors, rendering the inventive RRAM changing conductance gradually instead of abruptly.
    Type: Application
    Filed: September 23, 2021
    Publication date: March 23, 2023
    Inventor: Kangguo Cheng
  • Publication number: 20230089185
    Abstract: An integrated circuit component includes a first layer including first and second areas of epitaxy material. The first layer has a first polarity. The component further includes a second layer including third and fourth areas of epitaxy material. The second layer has a second polarity that is different than the first polarity. The third area is arranged at least partially above the first area, and the fourth area is arranged at least partially above the second area. The integrated circuit component further includes an interconnect in direct contact with one of the first area and the third area and in direct contact with one of the second area and the fourth area. The interconnect has a top surface that does not extend substantially above an uppermost surface of the second layer.
    Type: Application
    Filed: September 17, 2021
    Publication date: March 23, 2023
    Inventors: Ruilong Xie, REINALDO VEGA, Alexander Reznicek, Kangguo Cheng
  • Publication number: 20230086633
    Abstract: Illustrative embodiments provide techniques for fabricating semiconductor structures having bottom isolation and enhanced carrier mobility for both nFET and pFET devices. For example, in one illustrative embodiment, a semiconductor structure includes a semiconductor substrate, a first dielectric layer disposed on the semiconductor substrate, a bottom source/drain region disposed on the first dielectric layer and isolated from the semiconductor substrate by the first dielectric layer, a second dielectric layer disposed on the bottom source/drain region and a top source/drain region disposed on the second dielectric layer and isolated from the bottom source/drain region by the second dielectric layer. The bottom source/drain region comprises a compressive pFET epitaxy and the top source/drain region comprises a tensile nFET epitaxy.
    Type: Application
    Filed: September 20, 2021
    Publication date: March 23, 2023
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, Chanro Park, Juntao Li
  • Publication number: 20230091621
    Abstract: A semiconductor structure includes a substrate comprising a semiconductor material, and a fin on the substrate. The fin includes a first portion formed from the semiconductor material and a second portion including a channel region. The first portion has a first thickness and the second portion has a second thickness greater than the first thickness. A spacer is disposed on sides of the first portion of the fin.
    Type: Application
    Filed: September 21, 2021
    Publication date: March 23, 2023
    Inventors: Kangguo Cheng, Shogo Mochizuki, Juntao Li, Choonghyun Lee
  • Publication number: 20230086888
    Abstract: A dielectric layer is on top of a first semiconductor stack. The first semiconductor stack is compressively strained. A second semiconductor stack is on top of the dielectric layer. The second semiconductor stack is tensely strained.
    Type: Application
    Filed: September 23, 2021
    Publication date: March 23, 2023
    Inventors: Kangguo Cheng, SHOGO MOCHIZUKI, JUNTAO LI
  • Publication number: 20230093101
    Abstract: A semiconductor device includes a dielectric isolation layer, a plurality of gates formed above the dielectric isolation layer, a plurality of source/drain regions above the dielectric isolation layer between the plurality of gates, and at least one contact placeholder for a backside contact. The at least one contact placeholder contacts a bottom surface of a first source/drain region of the plurality of source/drain regions. The semiconductor device further includes at least one backside contact contacting a bottom surface of a second source/drain region of the plurality of source/drain regions, and a buried power rail arranged beneath, and contacting the at least one backside contact.
    Type: Application
    Filed: September 22, 2021
    Publication date: March 23, 2023
    Inventors: Ruilong XIE, Brent ANDERSON, Albert M. YOUNG, Kangguo CHENG, Julien FROUGIER, Balasubramanian PRANATHARTHIHARAN, Roy R. YU, Takeshi NOGAMI
  • Publication number: 20230089257
    Abstract: Embodiments disclosed herein include an RRAM cell. The RRAM cell may include a first nanowire electrically connected to a first wordline electrode. The nanowire may include a first sharpened point distal from the first wordline electrode. The RRAM cell may also include a metal contact electrically connected to a bitline electrode and a high-? dielectric layer directly between the nanowire and the metal contact.
    Type: Application
    Filed: September 21, 2021
    Publication date: March 23, 2023
    Inventors: Juntao Li, Kangguo Cheng, Dexin Kong, Zheng Xu
  • Publication number: 20230093025
    Abstract: Semiconductor structures having an increased gate length are provided by providing a vertical stack of suspended semiconductor channel material nanosheets that include a middle portion located between a first end portion and a second end portion. The middle portion of each suspended semiconductor channel material nanosheet is vertically offset from (i.e., higher or lower than) the first end portion and the second end portion of each suspended semiconductor channel material nanosheet.
    Type: Application
    Filed: September 21, 2021
    Publication date: March 23, 2023
    Inventors: Ruilong Xie, Julien Frougier, Kangguo Cheng, CHANRO PARK