Patents by Inventor Kartik Ramaswamy

Kartik Ramaswamy has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20190057840
    Abstract: Embodiments of the disclosure provide a plasma source assembly and process chamber design that can be used for any number of substrate processing techniques. The plasma source may include a plurality of discrete electrodes that are integrated with a reference electrode and a gas feed structure to generate a uniform, stable and repeatable plasma during processing. The plurality of discrete electrodes include an array of electrodes that can be biased separately, in groups or all in unison, relative to a reference electrode. The plurality of discrete electrodes may include a plurality of conductive rods that are positioned to generate a plasma within a processing region of a process chamber. The plurality of discrete electrodes is provided RF power from standing or traveling waves imposed on a power distribution element to which the electrodes are connected.
    Type: Application
    Filed: August 21, 2018
    Publication date: February 21, 2019
    Inventors: Kenneth S. COLLINS, Michael R. RICE, Kartik RAMASWAMY, James D. CARDUCCI, Yue GUO, Olga REGELMAN
  • Publication number: 20190057841
    Abstract: Embodiments of the disclosure provide a plasma source assembly and process chamber design that can be used for any number of substrate processing techniques. The plasma source may include a plurality of discrete electrodes that are integrated with a reference electrode and a gas feed structure to generate a uniform, stable and repeatable plasma during processing. The plurality of discrete electrodes include an array of electrodes that can be biased separately, in groups or all in unison, relative to a reference electrode. The plurality of discrete electrodes may include a plurality of conductive rods that are positioned to generate a plasma within a processing region of a process chamber. The plurality of discrete electrodes is provided RF power from standing or traveling waves imposed on a power distribution element to which the electrodes are connected.
    Type: Application
    Filed: August 21, 2018
    Publication date: February 21, 2019
    Inventors: Kenneth S. COLLINS, Michael R. RICE, Kartik RAMASWAMY, James D. CARDUCCI, Yue GUO, Olga REGELMAN
  • Publication number: 20190051496
    Abstract: Embodiments of the disclosure provide a plasma source assembly and process chamber design that can be used for any number of substrate processing techniques. The plasma source may include a plurality of discrete electrodes that are integrated with a reference electrode and a gas feed structure to generate a uniform, stable and repeatable plasma during processing. The plurality of discrete electrodes include an array of electrodes that can be biased separately, in groups or all in unison, relative to a reference electrode. The plurality of discrete electrodes may include a plurality of conductive rods that are positioned to generate a plasma within a processing region of a process chamber. The plurality of discrete electrodes is provided RF power from standing or traveling waves imposed on a power distribution element to which the electrodes are connected.
    Type: Application
    Filed: August 9, 2018
    Publication date: February 14, 2019
    Inventors: Kenneth S. COLLINS, Michael R. RICE, Kartik RAMASWAMY, James D. CARDUCCI, Yue GUO, Olga REGELMAN
  • Publication number: 20190018322
    Abstract: A method and apparatus disclosed herein apply to processing a substrate, and more specifically to a method and apparatus for improving photolithography processes. The apparatus includes a chamber body, a substrate support disposed within the chamber body, and an electrode assembly. The substrate support has a top plate disposed above the substrate support, a bottom plate disposed below the substrate support, and a plurality of electrodes connecting the top plate to the bottom plate. A voltage is applied to the plurality of electrodes to generate an electric field. Methods for exposing a photoresist layer on a substrate to an electric field are also disclosed herein.
    Type: Application
    Filed: September 21, 2018
    Publication date: January 17, 2019
    Inventors: Kartik RAMASWAMY, Srinivas D. NEMANI
  • Patent number: 10170278
    Abstract: Embodiments of methods and apparatus for plasma processing are provided herein. In some embodiments, an inductively coupled plasma apparatus may include a bottom wall comprising a hub and a ring coupled to the hub by a capacitor, wherein the hub and the ring are each electrically conductive, and where the hub has a central opening aligned with a central axis of the inductively coupled plasma apparatus; a top wall spaced apart from and above the bottom wall, wherein the top wall has a central opening aligned with the central axis, and wherein the tope wall is electrically conductive; a sidewall electrically connecting the ring to the top wall; and a tube electrically connecting the hub to the top wall, the tube having a central opening aligned with the central axis.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 1, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Andrew Nguyen, Kartik Ramaswamy, Yang Yang, Steven Lane
  • Patent number: 10170279
    Abstract: A plasma reactor has an overhead multiple coil antennas including a parallel spiral coil antenna and symmetric and radial RF feeds and cylindrical RF shielding around the symmetric and radial RF feeds. The radial RF feeds are symmetrically fed to the plasma source.
    Type: Grant
    Filed: June 3, 2014
    Date of Patent: January 1, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Jason A. Kenney, James D. Carducci, Kenneth S. Collins, Richard Fovell, Kartik Ramaswamy, Shahid Rauf
  • Publication number: 20180374685
    Abstract: A plasma reactor includes a chamber body having an interior space that provides a plasma chamber, a gas distributor to deliver a processing gas to the plasma chamber, a workpiece support to hold a workpiece, an electrode assembly comprising a plurality of conductors spaced apart from and extending laterally across the workpiece support in a parallel coplanar array, a first RF power source to supply a first RF power to the electrode assembly, and a dielectric bottom plate between the electrode assembly and the workpiece support, the dielectric bottom plate providing an RF window between the electrode assembly and the plasma chamber.
    Type: Application
    Filed: June 22, 2017
    Publication date: December 27, 2018
    Inventors: Kenneth S. Collins, Michael R. Rice, Kartik Ramaswamy, James D. Carducci, Shahid Rauf, Kallol Bera
  • Publication number: 20180374764
    Abstract: Embodiments include devices and methods for detecting particles, monitoring etch or deposition rates, or controlling an operation of a wafer fabrication process. In an embodiment, a particle monitoring device for particle detection includes several capacitive micro sensors mounted on a wafer substrate to detect particles under all pressure regimes, e.g., under vacuum conditions. In an embodiment, one or more capacitive micro sensors is mounted on a wafer processing tool to measure material deposition and removal rates in real-time during the wafer fabrication process. Other embodiments are also described and claimed.
    Type: Application
    Filed: August 29, 2018
    Publication date: December 27, 2018
    Inventors: Leonard Tedeschi, Kartik Ramaswamy, Daniel Thomas McCormick, Robert Paul Meagley
  • Publication number: 20180374684
    Abstract: A plasma reactor includes a chamber body having an interior space that provides a plasma chamber, a gas distributor, a pump coupled to the plasma chamber, a workpiece support to hold a workpiece, an intra-chamber electrode assembly comprising a plurality of filaments extending laterally through the plasma chamber, each filament including a conductor surrounded by a cylindrical insulating shell, the plurality of filaments including a first multiplicity of filaments and a second multiplicity of filaments arranged in an alternating pattern with the first multiplicity of filaments, a first bus coupled to the first multiplicity of filaments and a second bus coupled to the second multiplicity of filaments, an RF power source to apply RF signal the intra-chamber electrode assembly, and at least one RF switch configured to controllably electrically couple and decouple the first bus from one of i) ground, ii) the RF power source, or iii) the second bus.
    Type: Application
    Filed: June 21, 2018
    Publication date: December 27, 2018
    Inventors: Kenneth S. Collins, Kartik Ramaswamy, Shahid Rauf, Kallol Bera, James D. Carducci, Michael R. Rice, Yue Guo
  • Publication number: 20180374686
    Abstract: A processing tool for a plasma process includes a chamber body that has an interior space that provides a plasma chamber and that has a ceiling and an opening on a side opposite the ceiling, a workpiece support to hold a workpiece such that at least a portion of a front surface of the workpiece faces the opening, an actuator to generate relative motion between the chamber body and the workpiece support such that the opening moves laterally across the workpiece, a gas distributor to deliver a processing gas to the plasma chamber, an electrode assembly comprising a plurality of coplanar filaments extending laterally through the plasma chamber between the workpiece support and the ceiling, each of the plurality of filaments including a conductor, and a first RF power source to supply a first RF power to the conductors of the electrode assembly to form a plasma.
    Type: Application
    Filed: June 22, 2017
    Publication date: December 27, 2018
    Inventors: Kenneth S. Collins, Michael R. Rice, Kartik Ramaswamy, James D. Carducci
  • Publication number: 20180366306
    Abstract: Implementations described herein provide a substrate support assembly which enables tuning of a plasma within a plasma chamber. In one embodiment, a method for tuning a plasma in a chamber is provided. The method includes providing a first radio frequency power and a direct current power to a first electrode in a substrate support assembly, providing a second radio frequency power to a second electrode in the substrate support assembly at a different location than the first electrode, monitoring parameters of the first and second radio frequency power, and adjusting one or both of the first and second radio frequency power based on the monitored parameters.
    Type: Application
    Filed: August 27, 2018
    Publication date: December 20, 2018
    Inventors: Yang YANG, Kartik RAMASWAMY, Steven LANE, Lawrence WONG, Shahid RAUF, Andrew NGUYEN, Kenneth S. COLLINS, Roger Alan LINDLEY
  • Publication number: 20180366354
    Abstract: In one implementation, a showerhead assembly is provided. The showerhead assembly comprises a first electrode having a plurality of openings therethrough and a gas distribution faceplate attached to a first lower major surface of the electrode. The gas distribution plate includes a plurality of through-holes for delivering process gases to a processing chamber. The gas distribution plate is divided into a plurality of temperature-control regions. The showerhead assembly further comprises a chill plate positioned above the electrode for providing temperature control and a plurality of heat control devices to manage heat transfer within the showerhead assembly. The heat control device comprises a thermoelectric module and a heat pipe assembly coupled with the thermoelectric module. Each of the plurality of heat control devices is associated with a temperature control region and provides independent temperature control to its associated temperature control region.
    Type: Application
    Filed: April 27, 2018
    Publication date: December 20, 2018
    Inventors: Andrew NGUYEN, Yogananda SARODE, Xue CHANG, Kartik RAMASWAMY
  • Publication number: 20180366359
    Abstract: A method and apparatus for de-chucking a workpiece is described that uses a swing voltage sequence. One example pertains to a method that includes applying a mechanical force from an electrostatic chuck against the back side of a workpiece that is electrostatically clamped to the chuck, applying a sequence of voltage pulses with a same polarity to the electrodes, each pulse of the sequence having a lower voltage than the preceding pulse, each pulse of the sequence having a lower voltage than the preceding pulse, and determining whether the workpiece is released from the chuck after the sequence of additional voltage pulses and if the workpiece is not released then repeating applying the sequence of voltage pulses.
    Type: Application
    Filed: June 20, 2017
    Publication date: December 20, 2018
    Inventors: Haitao Wang, Wonseok Lee, Sergio Fukuda Shoji, Chunlei Zhang, Kartik Ramaswamy
  • Publication number: 20180358222
    Abstract: Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high-density films for patterning applications. In one implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr. The method further includes generating a plasma at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamond-like carbon film has a density greater than 1.8 g/cc and a stress less than ?500 MPa.
    Type: Application
    Filed: May 15, 2018
    Publication date: December 13, 2018
    Inventors: Eswaranand VENKATASUBRAMANIAN, Samuel E. GOTTHEIM, Yang YANG, Pramit MANNA, Kartik RAMASWAMY, Takehito KOZHIZAWA, Abhijit Basu MALLICK, Srinivas GANDIKOTA
  • Patent number: 10153139
    Abstract: Implementations described herein provide a substrate support assembly which enables tuning of a plasma within a plasma chamber. In one embodiment, a method for tuning a plasma in a chamber is provided. The method includes providing a first radio frequency power and a direct current power to a first electrode in a substrate support assembly, providing a second radio frequency power to a second electrode in the substrate support assembly at a different location than the first electrode, monitoring parameters of the first and second radio frequency power, and adjusting one or both of the first and second radio frequency power based on the monitored parameters.
    Type: Grant
    Filed: June 17, 2015
    Date of Patent: December 11, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Yang Yang, Kartik Ramaswamy, Steven Lane, Lawrence Wong, Shahid Rauf, Andrew Nguyen, Kenneth S. Collins, Roger Alan Lindley
  • Patent number: 10153133
    Abstract: A plasma reactor for processing a workpiece has a microwave source with a digitally synthesized rotation frequency using direct digital up-conversion and a user interface for controlling the rotation frequency.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: December 11, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Satoru Kobayashi, Hideo Sugai, Soonam Park, Kartik Ramaswamy, Dmitry Lubomirsky
  • Publication number: 20180342375
    Abstract: Embodiments of the disclosure relate to apparatus and method for tunable a plasma process within a plasma processing chamber. In one embodiment of the disclosure, a heater assembly for a plasma processing chamber is disclosed. The heater assembly includes a resistive heating element, a first lead coupling the resistive heating element to an RF filter and a tunable circuit element operable to adjust an impedance between the resistive heating element and the RF filter. Another embodiment provides a method for controlling a plasma process in a plasma processing chamber by forming a plasma from a process gas present inside the plasma processing chamber and adjusting an impedance between a resistive heating element and an RF filter coupled between the resistive heating element and a power source for the resistive heating element, while the plasma is present in the plasma processing chamber.
    Type: Application
    Filed: May 26, 2017
    Publication date: November 29, 2018
    Inventors: Andrew NGUYEN, Kartik RAMASWAMY, Michael G. CHAFIN, Yang YANG, Anilkumar RAYAROTH, Lu LIU
  • Patent number: 10141166
    Abstract: Plural sensors on an interior surface of a reactor chamber are linked by respective RF communication channels to a hub inside the reactor chamber, which in turn is linked to a process controller outside of the chamber.
    Type: Grant
    Filed: August 15, 2014
    Date of Patent: November 27, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Lawrence Wong, Kartik Ramaswamy, Yang Yang, Steven Lane, Richard Fovell
  • Publication number: 20180335393
    Abstract: Embodiments include devices and methods for detecting particles in a wafer processing tool. In an embodiment, a particle monitoring device having a wafer form factor includes several micro sensors capable of operating in all pressure regimes, e.g., under vacuum conditions. The particle monitoring device may include a clock to output a time value when a parameter of a micro sensor changes in response to receiving a particle within a chamber of the wafer processing tool. A location of the micro sensor or the time value may be used to determine a source of the particle. Other embodiments are also described and claimed.
    Type: Application
    Filed: July 31, 2018
    Publication date: November 22, 2018
    Inventors: Leonard TEDESCHI, Kartik RAMASWAMY
  • Patent number: 10131994
    Abstract: A plasma reactor for processing a workpiece includes a reactor chamber having a ceiling and a sidewall and a workpiece support facing the ceiling and defining a processing region, and a pair of concentric independently excited RF coil antennas overlying the ceiling and a side RF coil concentric with the side wall and facing the side wall below the ceiling, and being excited independently.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: November 20, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Andrew Nguyen, Kenneth S. Collins, Kartik Ramaswamy, Shahid Rauf, James D. Carducci, Douglas A. Buchberger, Jr., Ankur Agarwal, Jason A. Kenney, Leonid Dorf, Ajit Balakrishna, Richard Fovell