Patents by Inventor Peter Song

Peter Song has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20090101864
    Abstract: A chemical mechanical polishing slurry for Ta barrier layer is disclosed, which comprises abrasive particles A, abrasive particles B larger in size than abrasive particles A, a triazole compound, an organic acid and a carrier. By using the chemical mechanical polishing slurry according to the present invention, the defects, scratches, contaminants and other residues can be reduced significantly, and the polishing selectivity between the barrier layer and the oxide layer can be adjusted by using particles of different sizes, so that the difficulty of adjusting the removing rates of two substrates separately is overcome. Furthermore, both the local corrosion and the general corrosion during the metal polishing process are avoided, and thus the yield rate of the desired products is promoted.
    Type: Application
    Filed: October 8, 2006
    Publication date: April 23, 2009
    Inventors: Weihong Peter Song, Guodong Jery Chen, Yuan Gu, Chun Sunny Xu, Ying Michael Song
  • Patent number: 7516274
    Abstract: Power conservation via DRAM access reduction is provided by a buffer/mini-cache selectively operable in a normal mode and a buffer mode. In the buffer mode, entered when CPUs begin operating in low-power states, non-cacheable accesses (such as generated by a DMA device) matching specified physical address ranges are processed by the buffer/mini-cache, instead of by a memory controller and DRAM. The buffer/mini-cache processing includes allocating lines when references miss, and returning cached data from the buffer/mini-cache when references hit. Lines are replaced in the buffer/mini-cache according to one of a plurality of replacement policies, including ceasing replacement when there are no available free lines. In the normal mode, entered when CPUs begin operating in high-power states, the buffer/mini-cache operates akin to a conventional cache and non-cacheable accesses are not processed therein.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: April 7, 2009
    Assignee: Sun Microsystems, Inc.
    Inventors: Laurent R. Moll, Seungyoon Peter Song, Peter N. Glaskowsky, Yu Qing Cheng
  • Patent number: 7412570
    Abstract: A small and power-efficient buffer/mini-cache sources and sinks selected DMA accesses directed to a memory space included in a coherency domain of a microprocessor when cached data in the microprocessor is inaccessible due to any or all of the microprocessor being in a low-power state not supporting snooping. Satisfying the selected DMA accesses via the buffer/mini-cache enables reduced power consumption by allowing the microprocessor (or portion thereof) to remain in the low-power state. The buffer/mini-cache may be operated (temporarily) incoherently with respect to the cached data in the microprocessor and flushed before deactivation to synchronize with the cached data when the microprocessor (or portion thereof) transitions to a high-power state that enables snooping. Alternatively the buffer/mini-cache may be operated in a manner (incrementally) coherent with the cached data.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: August 12, 2008
    Assignees: Sun Microsystems, Inc., Sun Microsystems Technology LTD
    Inventors: Laurent R. Moll, Yu Qing Cheng, Peter N. Glaskowsky, Seungyoon Peter Song
  • Patent number: 7389403
    Abstract: An Adaptive Computing Ensemble (ACE) includes a plurality of flexible computation units as well as an execution controller to allocate the units to Computing Ensembles (CEs) and to assign threads to the CEs. The units may be any combination of ACE-enabled units, including instruction fetch and decode units, integer execution and pipeline control units, floating-point execution units, segmentation units, special-purpose units, reconfigurable units, and memory units. Some of the units may be replicated, e.g. there may be a plurality of integer execution and pipeline control units. Some of the units may be present in a plurality of implementations, varying by performance, power usage, or both. The execution controller dynamically alters the allocation of units to threads in response to changing performance and power consumption observed behaviors and requirements.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: June 17, 2008
    Assignee: Sun Microsystems, Inc.
    Inventors: Donald B. Alpert, John Gregory Favor, Peter N. Glaskowsky, Seungyoon Peter Song
  • Patent number: 7178700
    Abstract: A grease gun plunger for a grease gun having an oil injection channel includes a motor driving unit, a plunger unit, and a yoke. The plunger unit includes a bracket having a bracket cavity and an elongated slot longitudinally formed on a rear wall of the bracket to communicate with the bracket cavity, and a plunger pin downwardly extended from the bracket for slidably inserting into the oil injection channel. The yoke, which is substantially held in the bracket cavity of the bracket, has a sliding channel aligning with the elongated slot of the bracket, wherein the driving shaft is slidably inserted into the sliding channel through the elongated slot in such a manner that when the driving shaft is rotated to slide along the sliding channel, the yoke is driven to move in a reciprocating manner along a transverse direction.
    Type: Grant
    Filed: March 3, 2005
    Date of Patent: February 20, 2007
    Inventors: Peter Song, Yue Rong Wang
  • Publication number: 20060196890
    Abstract: A grease gun plunger for a grease gun having an oil injection channel includes a motor driving unit, a plunger unit, and a yoke. The plunger unit includes a bracket having a bracket cavity and an elongated slot longitudinally formed on a rear wall of the bracket to communicate with the bracket cavity, and a plunger pin downwardly extended from the bracket for slidably inserting into the oil injection channel. The yoke, which is substantially held in the bracket cavity of the bracket, has a sliding channel aligning with the elongated slot of the bracket, wherein the driving shaft is slidably inserted into the sliding channel through the elongated slot in such a manner that when the driving shaft is rotated to slide along the sliding channel, the yoke is driven to move in a reciprocating manner along a transverse direction.
    Type: Application
    Filed: March 3, 2005
    Publication date: September 7, 2006
    Inventors: Peter Song, Yue Wang
  • Patent number: 6957323
    Abstract: This disclosure describes an operand file, a device that combines the functions of a register file, a reservation station, and a rename buffer into single storage element. The advantage of this mechanism is that it eliminates copying results and operands between the register file, reservation station, and rename buffer, thereby greatly simplifying the design and reducing area and power consumption. Furthermore, it can also be used in multithreaded processors that spawn children threads by copying some or all of the parent thread's registers to each of the children thread's registers.
    Type: Grant
    Filed: November 14, 2001
    Date of Patent: October 18, 2005
    Assignee: Elan Research, Inc.
    Inventor: Seungyoon Peter Song
  • Publication number: 20050110683
    Abstract: Systems and methods for employing switched phase shifters and a feed network to provide a low cost multiple beam antenna system for wireless communications. The present systems and methods may also facilitate multi-band communications and employ multi-diversity. The present systems and methods allow communication systems to achieve enhanced performance for communication or other services such as location tracking. The present systems and methods may employ switched phase shifters, multiple diversity antennas and/or a feed network having a multi-layer construction to provide an antenna system with low losses, low external component count and/or which is thin and compact.
    Type: Application
    Filed: November 24, 2003
    Publication date: May 26, 2005
    Inventors: Peter Song, Ross Murch, Angus Keung, Douglas George, Piu Wong
  • Patent number: 6848025
    Abstract: A caching device using an N-way replacement mechanism is disclosed. The replacement mechanism comprises at least one replacement order list with N positions, with the first-to-replace position at one end and the last-to-replace position at the opposite end, each position containing a way number, N way comparators, a control unit, a replacement order generator, and receiving a hit signal and, in case of a hit, a hit way number. A system and method in accordance with the present invention provides a programmable replacement mechanism applicable to caching devices, such as instruction and data caches and TLBs (translation lookaside buffers) in processors or texture map caches in graphics systems, that use set associative or fully associative organization. A replacement order list is maintained that specifies the order of which the elements in a set are to be selected for replacement.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: January 25, 2005
    Assignee: Elan Research, Inc.
    Inventors: Seungyoon Peter Song, Seungtalk Michael Song
  • Publication number: 20040247142
    Abstract: An amplifier for musical instruments containing a means for playing recorded music, such as a cd player, can be used to enhance the learning of musical selections by enabling the musician to play, repeat, and isolate and repeat phrases of the musical selections.
    Type: Application
    Filed: June 4, 2004
    Publication date: December 9, 2004
    Inventors: Ravi K. Sawhney, Ingvald A. Smith-Kielland, Paul K. Janowski, Peter Song
  • Publication number: 20030093652
    Abstract: This disclosure describes an operand file, a device that combines the functions of a register file, a reservation station, and a rename buffer into single storage element. The advantage of this mechanism is that it eliminates copying results and operands between the register file, reservation station, and rename buffer, thereby greatly simplifying the design and reducing area and power consumption. Furthermore, it can also be used in multithreaded processors that spawn children threads by copying some or all of the parent thread's registers to each of the children thread's registers.
    Type: Application
    Filed: November 14, 2001
    Publication date: May 15, 2003
    Inventor: Seungyoon Peter Song
  • Publication number: 20030084247
    Abstract: A caching device using an N-way replacement mechanism is disclosed. The replacement mechanism comprises at least one replacement order list with N positions, with the first-to-replace position at one end and the last-to-replace position at the opposite end, each position containing a way number, N way comparators, a control unit, a replacement order generator, and receiving a hit signal and, in case of a hit, a hit way number. A system and method in accordance with the present invention provides a programmable replacement mechanism applicable to caching devices, such as instruction and data caches and TLBs (translation lookaside buffers) in processors or texture map caches in graphics systems, that use set associative or fully associative organization. A replacement order list is maintained that specifies the order of which the elements in a set are to be selected for replacement.
    Type: Application
    Filed: October 26, 2001
    Publication date: May 1, 2003
    Inventors: Seungyoon Peter Song, Seungtalk Michael Song
  • Patent number: 6094705
    Abstract: A method and system for selective refresh for a memory array is disclosed. The method and system comprises providing a plurality of valid bits, each of the valid bits being associated with a row of the memory device; and detecting when data access is performed within a row of the device. The method and system further comprises setting the associated valid bit, the setting of the associated valid bit providing an indication that the row does not need to be refreshed for the refresh period. By providing the valid bits in the refresh controller and associating them with a row of the memory array then if a cell is written or read at least once a duration equivalent to a refresh period, then the cells do not need to be refreshed. When a DRAM cell is accessed (read or written), its charge is fully restored so that it does not need refresh for a duration equivalent to a refresh interval.
    Type: Grant
    Filed: March 10, 1999
    Date of Patent: July 25, 2000
    Assignee: picoTurbo, Inc.
    Inventor: Seungyoon Peter Song
  • Patent number: 6078941
    Abstract: A modular computational structure includes a pipeline having first and second adder stages. Each adder stage includes a pair of adders which operate in parallel, and outputs ports of the first adder stage are coupled to input ports of the second adder stage. Rounding logic and an accumulator are included in the second stage. By varying the inputs to the first and second stages a variety of complex arithmetic functions suitable for video encoding can be implemented. Examples of the operations include completion of multiply and multiply-and-accumulate operations, averages of two values, averages of four values, and merged difference and absolute value calculation.
    Type: Grant
    Filed: December 10, 1998
    Date of Patent: June 20, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shao-Kun Jiang, Roney S. Wong, Seungyoon Peter-Song
  • Patent number: 6061711
    Abstract: In a multi-tasking computing system environment, one program is halted and context switched out so that a processor may context switch in a subsequent program for execution. Processor state information exists which reflects the state of the program being context switched out. Storage of this processor state information permits successful resumption of the context switched out program. When the context switched out program is subsequently context switched in, the stored processor information is loaded in preparation for successfully resuming the program at the point in which execution was previously halted. Although, large areas of memory can be allocated to processor state information storage, only a portion of this may need to be preserved across a context switch for successfully saving and resuming the context switched out program.
    Type: Grant
    Filed: August 19, 1996
    Date of Patent: May 9, 2000
    Assignee: Samsung Electronics, Inc.
    Inventors: Seungyoon Peter Song, Moataz A. Mohamed, Heonchul Park, Le T. Nguyen, Jerry R. Van Aken, Alessandro Forin, Andrew R. Raffman
  • Patent number: 6041167
    Abstract: A processing system and method of operation are provided. A particular instruction is dispatched to execution circuitry for execution. After dispatching the particular instruction, an execution serialized instruction is dispatched to the execution circuitry prior to finishing execution of the particular instruction.
    Type: Grant
    Filed: July 27, 1995
    Date of Patent: March 21, 2000
    Assignee: International Business Machines Corporation
    Inventor: Seungyoon Peter Song
  • Patent number: 6003129
    Abstract: A multiprocessor computer system includes a plurality of processors, called asymmetric processors, having mutually dissimilar control and data-handling characteristics. The asymmetric processors are controlled by a single operating system although the individual processors have instruction sets that are mutually independent of the other processors. The multiprocessor computer system uses a multiprocessor architectural definition of interrupt and exception handling in which a processor, called a data or vector processor, having a large machine state and a large data width detects exceptions but defers interrupt and exception handling operations to another processor, called a control processor, having a small machine state and data width. The small machine state and small data width of the control processor are well suited for executing operating system programs such as interrupt and exception handling since control programs typically involve monitoring and control of individual flags and pointers.
    Type: Grant
    Filed: August 19, 1996
    Date of Patent: December 14, 1999
    Assignee: Samsung Electronics Company, Ltd.
    Inventors: Seungyeon Peter Song, Moataz A. Mohamed, Heon-Chul Park, Le Nguyen
  • Patent number: 5996058
    Abstract: A multiprocessor architectural definition provides that a program executing on a first processor interrupts a second processor by executing a software interrupt instruction. The software interrupt instruction includes an argument field for passing information from a program requesting the software interrupt. The argument, along with the opcode, is saved in a register designated for holding the argument. The information communicated via the argument is used in one embodiment to indicate a cause of the interrupt. In an embodiment, the information communicated via the argument designates an interrupt service routine to be activated in the interrupted processor.
    Type: Grant
    Filed: August 19, 1996
    Date of Patent: November 30, 1999
    Assignee: Samsung Electronics Company, Ltd.
    Inventors: Seungyeon Peter Song, Moataz A. Mohamed, Heon-Chul Park, Le Nguyen
  • Patent number: 5991531
    Abstract: A N-byte vector processor is provided which can emulate 2N-byte processor operations by executing two N-byte operations sequentially. By using N-byte architecture to process 2N-byte wide data, chip size and costs are reduced. One embodiment allows 64-byte operations to be implemented with a 32-byte vector processor by executing a 32-byte instruction on the first 32-bytes of data and then executing a 32-byte instruction on the second 32-bytes of data. Registers and instructions for 64-byte operation are emulated using two 32-byte registers and instructions, respectively, with some instructions requiring modification to accommodate 64-byte operations between adjacent elements, operations requiring specific element locations, operations shifting elements in and out of registers, and operations specifying addresses exceeding 32 bytes.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: November 23, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seungyoon Peter Song, Heonchul Park
  • Patent number: 5922066
    Abstract: A wide data width processor has an execution unit including an aligner that aligns data for load/store instructions and shifts or rotates data for arithmetic logic instructions. Use of the same circuitry and execution unit for these different types of instructions reduces overall circuit size because alignment circuitry need not be repeated, once in a load/store unit and once in an arithmetic logic unit.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: July 13, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seongrai Cho, Heonchul Park, Seungyoon Peter Song