Patents by Inventor Samuel E. Gottheim

Samuel E. Gottheim has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240087894
    Abstract: Embodiments of the present disclosure generally relate to deposition of high transparency, high-density carbon films for patterning applications. In one embodiment, a method of forming a carbon film on a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a temperature of about ?10° C. to about 20° C. and a chamber pressure of about 0.5 mTorr to about 10 Torr, and generating a plasma by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film containing about 60% or greater hybridized sp3 atoms on the substrate, wherein the first RF bias is provided at a power of about 1800 Watts to about 2200 Watts and at a frequency of about 40 MHz to about 162 MHz.
    Type: Application
    Filed: November 13, 2023
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand VENKATASUBRAMANIAN, Samuel E. GOTTHEIM, Pramit MANNA, Abhijit B. MALLICK
  • Patent number: 11842897
    Abstract: Embodiments of the present disclosure generally relate to deposition of high transparency, high-density carbon films for patterning applications. In one embodiment, a method of forming a carbon film on a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a temperature of about ?10° C. to about 20° C. and a chamber pressure of about 0.5 mTorr to about 10 Torr, and generating a plasma by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film containing about 60% or greater hybridized sp3 atoms on the substrate, wherein the first RF bias is provided at a power of about 1800 Watts to about 2200 Watts and at a frequency of about 40 MHz to about 162 MHz.
    Type: Grant
    Filed: October 4, 2019
    Date of Patent: December 12, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Patent number: 11676858
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high quality gapfill. Some embodiments utilize chemical vapor deposition, plasma vapor deposition, physical vapor deposition and combinations thereof to deposit the gapfill. The gapfill is of high quality and similar in properties to similarly composed bulk materials.
    Type: Grant
    Filed: June 29, 2021
    Date of Patent: June 13, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Samuel E. Gottheim, Eswaranand Venkatasubramanian, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20230154726
    Abstract: Embodiments described herein relate to magnetic and electromagnetic systems and a method for controlling the density profile of plasma generated in a process volume of a PECVD chamber to affect deposition profile of a film. In one embodiment, a plurality of retaining brackets is disposed in a rotational magnetic housing of the magnetic housing systems. Each retaining bracket of the plurality of retaining brackets is disposed in the rotational magnetic housing with a distance d between each retaining bracket. The plurality of retaining brackets has a plurality of magnets removably disposed therein. The plurality of magnets is configured to travel in a circular path when the rotational magnetic housing is rotated around the round central opening.
    Type: Application
    Filed: January 17, 2023
    Publication date: May 18, 2023
    Inventors: Srinivas GANDIKOTA, Tza-Jing GUNG, Samuel E. GOTTHEIM, Timothy Joseph FRANKLIN, Pramit MANNA, Eswaranand VENKATASUBRAMANIAN, Edward HAYWOOD, Stephen C. GARNER, Adam FISCHBACH
  • Publication number: 20230139431
    Abstract: Embodiments described herein provide magnetic and electromagnetic housing systems and a method for controlling the properties of plasma generated in a process volume of a process chamber to affect deposition properties of a film. In one embodiment, the method includes rotation of the rotational magnetic housing about a center axis of the process volume to create dynamic magnetic fields. The magnetic fields modify the shape of the plasma, concentration of ions and radicals, and movement of concentration of ions and radicals to control the density profile of the plasma. Controlling the density profile of the plasma tunes the uniformity and properties of a deposited or etched film.
    Type: Application
    Filed: December 27, 2022
    Publication date: May 4, 2023
    Applicant: Applied Materials, Inc.
    Inventors: Samuel E. GOTTHEIM, Abhijit B. MALLICK, Pramit MANNA, Eswaranand VENKATASUBRAMANIAN, Timothy Joseph FRANKLIN, Edward HAYWOOD, Stephen C. GARNER, Adam FISCHBACH
  • Patent number: 11638374
    Abstract: Apparatuses and methods to provide a patterned substrate are described. A plurality of patterned and spaced first lines and carbon material lines and formed on the substrate surface by selectively depositing and etching films extending in a first direction and films extending in a second direction that crosses the first direction to pattern the underlying structures.
    Type: Grant
    Filed: April 14, 2022
    Date of Patent: April 25, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tejinder Singh, Takehito Koshizawa, Abhijit Basu Mallick, Pramit Manna, Nancy Fung, Eswaranand Venkatasubramanian, Ho-yung David Hwang, Samuel E. Gottheim
  • Patent number: 11587764
    Abstract: Embodiments described herein relate to magnetic and electromagnetic systems and a method for controlling the density profile of plasma generated in a process volume of a PECVD chamber to affect deposition profile of a film. In one embodiment, a plurality of retaining brackets is disposed in a rotational magnetic housing of the magnetic housing systems. Each retaining bracket of the plurality of retaining brackets is disposed in the rotational magnetic housing with a distance d between each retaining bracket. The plurality of retaining brackets has a plurality of magnets removably disposed therein. The plurality of magnets is configured to travel in a circular path when the rotational magnetic housing is rotated around the round central opening.
    Type: Grant
    Filed: November 1, 2019
    Date of Patent: February 21, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Srinivas Gandikota, Tza-Jing Gung, Samuel E. Gottheim, Timothy Joseph Franklin, Pramit Manna, Eswaranand Venkatasubramanian, Edward Haywood, Stephen C. Garner, Adam Fischbach
  • Patent number: 11557466
    Abstract: Embodiments described herein provide magnetic and electromagnetic housing systems and a method for controlling the properties of plasma generated in a process volume of a process chamber to affect deposition properties of a film. In one embodiment, the method includes rotation of the rotational magnetic housing about a center axis of the process volume to create dynamic magnetic fields. The magnetic fields modify the shape of the plasma, concentration of ions and radicals, and movement of concentration of ions and radicals to control the density profile of the plasma. Controlling the density profile of the plasma tunes the uniformity and properties of a deposited or etched film.
    Type: Grant
    Filed: August 14, 2020
    Date of Patent: January 17, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Samuel E. Gottheim, Abhijit B. Mallick, Pramit Manna, Eswaranand Venkatasubramanian, Timothy Joseph Franklin, Edward Haywood, Stephen C. Garner, Adam Fischbach
  • Patent number: 11430655
    Abstract: Techniques for deposition of high-density dielectric films for patterning applications are described. More particularly, a method of processing a substrate is provided. The method includes flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.1 mTorr and about 10 Torr. A plasma is generated at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate. The dielectric film has a refractive index in a range of about 1.5 to about 3.
    Type: Grant
    Filed: October 13, 2020
    Date of Patent: August 30, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20220238531
    Abstract: Apparatuses and methods to provide a patterned substrate are described. A plurality of patterned and spaced first lines and carbon material lines and formed on the substrate surface by selectively depositing and etching films extending in a first direction and films extending in a second direction that crosses the first direction to pattern the underlying structures.
    Type: Application
    Filed: April 14, 2022
    Publication date: July 28, 2022
    Applicant: Applied Materials, Inc.
    Inventors: Tejinder Singh, Takehito Koshizawa, Abhijit Basu Mallick, Pramit Manna, Nancy Fung, Eswaranand Venkatasubramanian, Ho-Yung David Hwang, Samuel E. Gottheim
  • Patent number: 11335690
    Abstract: Apparatuses and methods to provide a patterned substrate are described. A plurality of patterned and spaced first lines and carbon material lines and formed on the substrate surface by selectively depositing and etching films extending in a first direction and films extending in a second direction that crosses the first direction to pattern the underlying structures.
    Type: Grant
    Filed: January 12, 2021
    Date of Patent: May 17, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Tejinder Singh, Takehito Koshizawa, Abhijit Basu Mallick, Pramit Manna, Nancy Fung, Eswaranand Venkatasubramanian, Ho-yung David Hwang, Samuel E. Gottheim
  • Patent number: 11332376
    Abstract: Apparatuses and methods to manufacture integrated circuits are described. A method of forming film on a substrate is described. The film is formed on a substrate by exposing a substrate to a diamond-like carbon precursor having an sp3 content of greater than 40 percent. Methods of etching a substrate are described. Electronic devices comprising a diamond-like carbon film are also described.
    Type: Grant
    Filed: July 7, 2020
    Date of Patent: May 17, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Patent number: 11270905
    Abstract: Embodiments of the present disclosure generally relate to a substrate processing chamber, and components thereof, for forming semiconductor devices. The processing chamber comprises a substrate support, and an edge ring is disposed around the substrate support. The edge ring comprises a material selected from the group consisting of quartz, silicon, cross-linked polystyrene and divinylbenzene, polyether ether ketone, Al2O3, and AlN. The material of the edge ring is selected to modulate the properties of hardmask films deposited on substrates in the processing chamber. As such, hardmask films having desired film properties can be deposited in the processing chamber without scaling up the RF power to the chamber.
    Type: Grant
    Filed: June 26, 2020
    Date of Patent: March 8, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Edward L. Haywood, Samuel E. Gottheim, Pramit Manna, Kien N. Chuc, Adam Fischbach, Abhijit B. Mallick, Timothy J. Franklin
  • Publication number: 20210327752
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high quality gapfill. Some embodiments utilize chemical vapor deposition, plasma vapor deposition, physical vapor deposition and combinations thereof to deposit the gapfill. The gapfill is of high quality and similar in properties to similarly composed bulk materials.
    Type: Application
    Filed: June 29, 2021
    Publication date: October 21, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Samuel E. Gottheim, Eswaranand Venkatasubramanian, Pramit Manna, Abhijit Basu Mallick
  • Patent number: 11062939
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high quality gapfill. Some embodiments utilize chemical vapor deposition, plasma vapor deposition, physical vapor deposition and combinations thereof to deposit the gapfill. The gapfill is of high quality and similar in properties to similarly composed bulk materials.
    Type: Grant
    Filed: June 19, 2019
    Date of Patent: July 13, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Samuel E. Gottheim, Eswaranand Venkatasubramanian, Pramit Manna, Abhijit Basu Mallick
  • Patent number: 11043372
    Abstract: Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high-density films for patterning applications. In one implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr. The method further includes generating a plasma at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamond-like carbon film has a density greater than 1.8 g/cc and a stress less than ?500 MPa.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: June 22, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Yang Yang, Pramit Manna, Kartik Ramaswamy, Takehito Koshizawa, Abhijit Basu Mallick, Srinivas Gandikota
  • Publication number: 20210134807
    Abstract: Apparatuses and methods to provide a patterned substrate are described. A plurality of patterned and spaced first lines and carbon material lines and formed on the substrate surface by selectively depositing and etching films extending in a first direction and films extending in a second direction that crosses the first direction to pattern the underlying structures.
    Type: Application
    Filed: January 12, 2021
    Publication date: May 6, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Tejinder Singh, Takehito Koshizawa, Abhijit Basu Mallick, Pramit Manna, Nancy Fung, Eswaranand Venkatasubramanian, Ho-yung David Hwang, Samuel E. Gottheim
  • Publication number: 20210050189
    Abstract: Embodiments described herein provide magnetic and electromagnetic housing systems and a method for controlling the properties of plasma generated in a process volume of a process chamber to affect deposition properties of a film. In one embodiment, the method includes rotation of the rotational magnetic housing about a center axis of the process volume to create dynamic magnetic fields. The magnetic fields modify the shape of the plasma, concentration of ions and radicals, and movement of concentration of ions and radicals to control the density profile of the plasma. Controlling the density profile of the plasma tunes the uniformity and properties of a deposited or etched film.
    Type: Application
    Filed: August 14, 2020
    Publication date: February 18, 2021
    Inventors: Samuel E. GOTTHEIM, Abhijit B. Mallick, Pramit Manna, Eswaranand Venkatasubramanian, Timothy Joseph Franklin, Edward Haywood, Stephen C. Garner
  • Publication number: 20210043450
    Abstract: Techniques for deposition of high-density dielectric films for patterning applications are described. More particularly, a method of processing a substrate is provided. The method includes flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.1 mTorr and about 10 Torr. A plasma is generated at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate. The dielectric film has a refractive index in a range of about 1.5 to about 3.
    Type: Application
    Filed: October 13, 2020
    Publication date: February 11, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Patent number: 10910381
    Abstract: Apparatuses and methods to provide a patterned substrate are described. A plurality of patterned and spaced first lines and carbon material lines and formed on the substrate surface by selectively depositing and etching films extending in a first direction and films extending in a second direction that crosses the first direction to pattern the underlying structures.
    Type: Grant
    Filed: July 31, 2019
    Date of Patent: February 2, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Tejinder Singh, Takehito Koshizawa, Abhijit Basu Mallick, Pramit Manna, Nancy Fung, Eswaranand Venkatasubramanian, Ho-yung David Hwang, Samuel E. Gottheim