Patents by Inventor Samuel E. Gottheim

Samuel E. Gottheim has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20210005500
    Abstract: Embodiments of the present disclosure generally relate to a substrate processing chamber, and components thereof, for forming semiconductor devices. The processing chamber comprises a substrate support, and an edge ring is disposed around the substrate support. The edge ring comprises a material selected from the group consisting of quartz, silicon, cross-linked polystyrene and divinylbenzene, polyether ether ketone, Al2O3, and AlN. The material of the edge ring is selected to modulate the properties of hardmask films deposited on substrates in the processing chamber. As such, hardmask films having desired film properties can be deposited in the processing chamber without scaling up the RF power to the chamber.
    Type: Application
    Filed: June 26, 2020
    Publication date: January 7, 2021
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand VENKATASUBRAMANIAN, Edward L. HAYWOOD, Samuel E. GOTTHEIM, Pramit MANNA, Kien N. CHUC, Adam FISCHBACH, Abhijit B. MALLICK, Timothy J. FRANKLIN
  • Patent number: 10840088
    Abstract: Techniques for deposition of high-density dielectric films for patterning applications are described. More particularly, a method of processing a substrate is provided. The method includes flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.1 mTorr and about 10 Torr. A plasma is generated at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate. The dielectric film has a refractive index in a range of about 1.5 to about 3.
    Type: Grant
    Filed: July 15, 2019
    Date of Patent: November 17, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20200331762
    Abstract: Apparatuses and methods to manufacture integrated circuits are described. A method of forming film on a substrate is described. The film is formed on a substrate by exposing a substrate to a diamond-like carbon precursor having an sp3 content of greater than 40 percent. Methods of etching a substrate are described. Electronic devices comprising a diamond-like carbon film are also described.
    Type: Application
    Filed: July 7, 2020
    Publication date: October 22, 2020
    Applicant: Applied Materials, Inc
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Patent number: 10745282
    Abstract: Apparatuses and methods to manufacture integrated circuits are described. A method of forming film on a substrate is described. The film is formed on a substrate by exposing a substrate to a diamond-like carbon precursor having an sp3 content of greater than 40 percent. Methods of etching a substrate are described. Electronic devices comprising a diamond-like carbon film are also described.
    Type: Grant
    Filed: June 7, 2018
    Date of Patent: August 18, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20200144029
    Abstract: Embodiments described herein relate to magnetic and electromagnetic systems and a method for controlling the density profile of plasma generated in a process volume of a PECVD chamber to affect deposition profile of a film. In one embodiment, a plurality of retaining brackets is disposed in a rotational magnetic housing of the magnetic housing systems. Each retaining bracket of the plurality of retaining brackets is disposed in the rotational magnetic housing with a distance d between each retaining bracket. The plurality of retaining brackets has a plurality of magnets removably disposed therein. The plurality of magnets is configured to travel in a circular path when the rotational magnetic housing is rotated around the round central opening.
    Type: Application
    Filed: November 1, 2019
    Publication date: May 7, 2020
    Inventors: Srinivas GANDIKOTA, Tza-Jing GUNG, Samuel E. GOTTHEIM, Timothy Joseph FRANKLIN, Pramit MANNA, Eswaranand VENKATASUBRAMANIAN, Edward HAYWOOD, Stephen C. GARNER
  • Publication number: 20200135466
    Abstract: Embodiments of the present disclosure generally relate to deposition of high transparency, high-density carbon films for patterning applications. In one embodiment, a method of forming a carbon film on a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a temperature of about ?10° C. to about 20° C. and a chamber pressure of about 0.5 mTorr to about 10 Torr, and generating a plasma by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film containing about 60% or greater hybridized sp3 atoms on the substrate, wherein the first RF bias is provided at a power of about 1800 Watts to about 2200 Watts and at a frequency of about 40 MHz to about 162 MHz.
    Type: Application
    Filed: October 4, 2019
    Publication date: April 30, 2020
    Inventors: Eswaranand VENKATASUBRAMANIAN, Samuel E. GOTTHEIM, Pramit MANNA, Abhijit Basu MALLICK
  • Publication number: 20200043932
    Abstract: Apparatuses and methods to provide a patterned substrate are described. A plurality of patterned and spaced first lines and carbon material lines and formed on the substrate surface by selectively depositing and etching films extending in a first direction and films extending in a second direction that crosses the first direction to pattern the underlying structures.
    Type: Application
    Filed: July 31, 2019
    Publication date: February 6, 2020
    Inventors: Tejinder Singh, Takehito Koshizawa, Abhijit Basu Mallick, Pramit Manna, Nancy Fung, Eswaranand Venkatasubramanian, Ho-yung David Hwang, Samuel E. Gottheim
  • Publication number: 20200027726
    Abstract: Techniques for deposition of high-density dielectric films for patterning applications are described. More particularly, a method of processing a substrate is provided. The method includes flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.1 mTorr and about 10 Torr. A plasma is generated at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate. The dielectric film has a refractive index in a range of about 1.5 to about 3.
    Type: Application
    Filed: July 15, 2019
    Publication date: January 23, 2020
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20190385907
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high quality gapfill. Some embodiments utilize chemical vapor deposition, plasma vapor deposition, physical vapor deposition and combinations thereof to deposit the gapfill. The gapfill is of high quality and similar in properties to similarly composed bulk materials.
    Type: Application
    Filed: June 19, 2019
    Publication date: December 19, 2019
    Inventors: Samuel E. Gottheim, Eswaranand Venkatasubramanian, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20180358222
    Abstract: Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high-density films for patterning applications. In one implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr. The method further includes generating a plasma at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamond-like carbon film has a density greater than 1.8 g/cc and a stress less than ?500 MPa.
    Type: Application
    Filed: May 15, 2018
    Publication date: December 13, 2018
    Inventors: Eswaranand VENKATASUBRAMANIAN, Samuel E. GOTTHEIM, Yang YANG, Pramit MANNA, Kartik RAMASWAMY, Takehito KOZHIZAWA, Abhijit Basu MALLICK, Srinivas GANDIKOTA
  • Publication number: 20180354804
    Abstract: Apparatuses and methods to manufacture integrated circuits are described. A method of forming film on a substrate is described. The film is formed on a substrate by exposing a substrate to a diamond-like carbon precursor having an sp3 content of greater than 40 percent. Methods of etching a substrate are described. Electronic devices comprising a diamond-like carbon film are also described.
    Type: Application
    Filed: June 7, 2018
    Publication date: December 13, 2018
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick