Patents by Inventor Shekhar Pramanick

Shekhar Pramanick has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 6143650
    Abstract: A method is provided for forming tantalum/copper barrier/seed layers in semiconductor channels or vias by using a pulsed laser annealing step. The pulsed laser can be controlled to heat the copper seed material for such short periods of time that the copper seed material does not agglomerate but the temperature is high enough to form an intermixed layer with the tantalum.
    Type: Grant
    Filed: January 13, 1999
    Date of Patent: November 7, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Dirk Brown, Takeshi Nogami
  • Patent number: 6127193
    Abstract: A test structure used to measure metal bottom coverage in semiconductor integrated circuits. The metal is deposited in etched trenches, vias and/or contacts created during the integrated circuit manufacturing process. A predetermined pattern of probe contacts are disposed about the semiconductor wafer. Metal deposited in the etched areas is heated to partially react with the underlying and surrounding undoped material. The remaining unreacted metal layer is then removed, and an electrical current is applied to the probe contacts. The resistance of the reacted portion of metal and undoped material is measured to determine metal bottom coverage. Some undoped material may also be removed to measure metal sidewall coverage. The predetermined pattern of probe contacts is preferably arranged in a Kelvin or Vander Paaw structure.
    Type: Grant
    Filed: May 18, 1998
    Date of Patent: October 3, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David Bang, Takeshi Nogami, Guarionex Morales, Shekhar Pramanick
  • Patent number: 6117770
    Abstract: A method for implanting copper conductive layers in channel or via openings with alloying elements, such as magnesium, boron, tin, and zirconium. The implantation is performed after conductive layer chemical-mechanical-polishing (CMP) using a surface barrier layer as an implant barrier. With the surface barrier layer being removed by barrier layer CMP, this allows directed, heavy implantation of the conductive layer with the alloying elements.
    Type: Grant
    Filed: October 8, 1998
    Date of Patent: September 12, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Dirk Brown, John A. Iacoponi, Christy Mei-Chu Woo
  • Patent number: 6117769
    Abstract: A semiconductor device with high conductivity interconnection lines formed of high conductivity material, such as copper, is manufactured using tantalum nitride material as barrier material between an aluminum layer, such as the wire bonding layer, and the underlying high conductivity interconnection lines. The tantalum nitride material contains high nitrogen content.
    Type: Grant
    Filed: August 11, 1998
    Date of Patent: September 12, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Takeshi Nogami, Susan Chen, Shekhar Pramanick
  • Patent number: 6091123
    Abstract: A self-aligned SOI device with body contact and silicide gate. The SOI device is formed using an ordinary substrate such as silicon. A silicide gate is self-aligned and formed from re-crystallization of nickel and amorphous silicon. The self-aligned silicide gate includes gate contact areas, and is self-aligned with respect to the gate opening, the source and drain regions and a nitride isolation layer. Nickel spacers deposited adjacent the isolation layer, and amorphous silicon deposited between the nickel spacers, form the self-aligned silicide gate through a silicidation process.
    Type: Grant
    Filed: June 8, 1998
    Date of Patent: July 18, 2000
    Assignee: Advanced Micro Devices
    Inventors: Zoran Krivokapic, Shekhar Pramanick
  • Patent number: 6087255
    Abstract: The application of a dissimilar anti-reflective coating on a conductive layer during photolithographic processing is avoided, as by modifying a portion of the upper surface of the conductive layer to exhibit anti-reflective properties. In an embodiment of the present invention, impurity ions are implanted into a portion of the upper surface of an aluminum or an aluminum-alloy conductive layer to render the upper portion substantially amorphous and, hence, decrease its reflectivity to perform an anti-reflective function.
    Type: Grant
    Filed: August 3, 1998
    Date of Patent: July 11, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Bhanwar Singh, Che-Hoo Ng
  • Patent number: 6087209
    Abstract: Ultra shallow, low resistance LDD junctions are achieved by forming an LDD implant generating an interstitial-rich section and forming a sub-surface, non-amorphous region generating a vacancy-rich region substantially overlapping the interstitial rich region generated when forming the LDD implant. Embodiments include ion implanting, Ge or Si to form surface amorphous and sub-surface, non-amorphous regions, and implanting B or BF.sub.2 to form the impurity region. Embodiments include forming the sub-surface, non-amorphous region before or after generating the surface amorphous region, and forming the impurity region before or after forming the sub-surface, non-amorphous region but after forming the surface amorphous region.
    Type: Grant
    Filed: July 31, 1998
    Date of Patent: July 11, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Geoffrey Choh-Fei Yeap, Akif Sultan, Shekhar Pramanick
  • Patent number: 6084271
    Abstract: A thin filmed fully-depleted silicon-on-insulator (SOI) metal oxide semiconductor field defect transistor (MOSFET) utilizes a local insulation structure. The local insulative structure includes a buried silicon dioxide region under the channel region. The MOSFET body thickness is very small and yet silicon available outside of the channel region and buried silicon dioxide region is available for sufficient depths of silicide in the source and drain regions. The buried silicon dioxide region can be formed by a trench isolation technique or a LOCOS technique.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: July 4, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Ming-Ren Lin, Shekhar Pramanick
  • Patent number: 6074937
    Abstract: Lightly doped regions are implanted into an amorphous region in the semiconductor substrate to significantly reduce transient enhanced diffusion upon subsequent activation annealing. A sub-surface non-amorphous region is also formed before activation annealing to substantially eliminate end-of-range defects on crystallization of amorphous region containing the lightly doped implants.
    Type: Grant
    Filed: April 13, 1998
    Date of Patent: June 13, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Che-Hoo Ng, Emi Ishida
  • Patent number: 6060383
    Abstract: A method of forming a multi-layered interconnect structure is provided. A first conductive pattern is formed over an insulation layer. A first dielectric material is deposited over the first conductive pattern, and plugs are formed in the first dielectric material. A second conductive pattern is formed over the first dielectric material and plugs so as to form the multi-layered interconnect structure in part. Then, the first dielectric material is stripped away to leave the multi-layered interconnect structure exposed to air. A thin layer of second dielectric material is deposited so as to coat at least a portion of the interconnect structure. Next, a thin layer of metal is deposited so as to coat the at least a portion of the interconnect structure coated with the thin layer of second dielectric material. A third dielectric material is deposited over the interconnect structure to replace the stripped away first dielectric material.
    Type: Grant
    Filed: August 10, 1998
    Date of Patent: May 9, 2000
    Inventors: Takeshi Nogami, Sergey Lopatin, Shekhar Pramanick
  • Patent number: 6054398
    Abstract: A method is provided for forming tantalum adhesion/barrier layers on semiconductor channels or in vias in low dielectric constant, fluorinated dielectric layers. The dielectric layers are defluorinated using hydrogen, ammonia, methane, or silane plasma and a subsequent tantalum deposition forms a less fluorine reactive tantalum carbide or tantalum silicide. Tantalum or tantalum nitride is then deposited over the less reactive form of tantalum to form the adhesion/barrier for deposition of a subsequent seed layer and a conductive material to form the vias and channels.
    Type: Grant
    Filed: May 14, 1999
    Date of Patent: April 25, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Shekhar Pramanick
  • Patent number: 6046106
    Abstract: Borderless submicron vias are formed between patterned metal layers gap filled with a high density plasma oxide. Heat treatment is conducted after chemical vapor deposition of the high density plasma oxide to substantially increase the grain size of the patterned metal layers, thereby improving electromigration resistance.
    Type: Grant
    Filed: September 5, 1997
    Date of Patent: April 4, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Khanh Q. Tran, Paul R. Besser, Guarionex Morales, Shekhar Pramanick
  • Patent number: 6022808
    Abstract: Copper interconnects with enhanced electromigration are formed by filling a via/contact hole and/or trench in a dielectric layer with undoped Cu. A Cu layer containing a dopant element, such as Pd, Zr or Sn is deposited on the undoped Cu contact/via and/or line. Annealing is then conducted to diffuse the dopant element into the copper contact/via and/or line to improve its electromigration resistance. CMP is then performed.
    Type: Grant
    Filed: March 16, 1998
    Date of Patent: February 8, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Takeshi Nogami, Shekhar Pramanick, Dirk Brown
  • Patent number: 6015752
    Abstract: Low resistivity metal silicide layers are formed on crystalline source/drain regions and polycrystalline gate electrodes with virtually no consumption of crystalline or polycrystalline silicon, thereby reducing parasitic series resistance without encountering junction leakage. Embodiments include selectively depositing a layer of nickel at a temperature less than about 280.degree. C. on the source/drain region and gate electrode, and then depositing a layer of amorphous silicon at a temperature below about 280.degree. C. thereon. An initial low temperature annealing is conducted, e.g., at about 180.degree. C. to about 280.degree. C., to react the amorphous silicon and nickel to form an upwardly grown layer of amorphous nickel silicide on the source/drain region and gate electrode with virtually no consumption of underlying silicon.
    Type: Grant
    Filed: June 30, 1998
    Date of Patent: January 18, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Shekhar Pramanick
  • Patent number: 6008098
    Abstract: A method of achieving shallow junctions in a semiconductor device is achieved by providing an amorphous silicon layer over an epitaxial layer, implanting ions into the amorphous silicon layer, and annealing the resulting device to recrystallize the amorphous silicon layer and drive in the implanted ions to a shallow depth less than the depth of the amorphous silicon layer.
    Type: Grant
    Filed: October 4, 1996
    Date of Patent: December 28, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Che-Hoo Ng
  • Patent number: 5994191
    Abstract: Low resistivity metal silicide layers are formed on a gate electrode and source/drain regions at an optimum thickness for reducing parasitic series resistances with an attendant consumption of silicon from the gate electrode and source/drain regions. Consumed silicon from the gate electrode and source/drain regions is then replaced employing metal induced crystallization, thereby avoiding a high leakage current. Embodiments include depositing a layer of amorphous silicon on the metal silicide layers and heating at a temperature of about 400.degree. C. to about 600.degree. C. initiating metal induced crystallization, thereby causing the metal silicide layers grow upwardly as silicon in the underlying gate electrode and source/drain regions is replaced.
    Type: Grant
    Filed: July 9, 1998
    Date of Patent: November 30, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Shekhar Pramanick
  • Patent number: 5937315
    Abstract: A deep submicron MOS device having a self-aligned silicide gate structure and a method for forming the same is provided so as to overcome the problems of poly-Si depletion and boron penetration. A first Nickel silicide layer is formed between a gate oxide and a polycrystalline silicon gate electrode. Further, second Nickel silicide layers are formed over highly-doped source/drain regions. In this fashion, the reliability of the MOS device will be enhanced.
    Type: Grant
    Filed: November 7, 1997
    Date of Patent: August 10, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Shekhar Pramanick, Ming-Ren Lin
  • Patent number: 5876903
    Abstract: A method of hardening photoresist (24) by bombardment with ionized particles (42), such as argon. Ionic bombardment causes formation of a hardened skin (22) on the exposed top (30) and side walls (32) of the photoresist (24). The hardened skin erodes at a reduced rate during etching and is less likely to react with products created during etching, thereby allowing etching of more accurate line widths and gaps.
    Type: Grant
    Filed: December 31, 1996
    Date of Patent: March 2, 1999
    Assignee: Advanced Micro Devices
    Inventors: Che-Hoo Ng, Bhanwar Singh, Shekhar Pramanick, Subash Gupta
  • Patent number: 5854132
    Abstract: A method for patterning a polysilicon layer includes creating a TiN layer above an amorphous silicon (a-Si) layer forming a TiN/a-Si stack. The TiN/a-Si stack is formed above the polysilicon layer. The TiN layer serves as an ARC to reduce overexposure of the photoresist used to pattern the polysilicon layer, while the a-Si layer prevents contamination of the layer below the polysilicon layer.
    Type: Grant
    Filed: November 29, 1994
    Date of Patent: December 29, 1998
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Scott Luning, Jonathon Fewkes
  • Patent number: 5841179
    Abstract: The application of a dissimilar anti-reflective coating on a conductive layer during photolithographic processing is avoided, as by modifying a portion of the upper surface of the conductive layer to exhibit anti-reflective properties. In an embodiment of the present invention, impurity ions are implanted into a portion of the upper surface of an aluminum or an aluminum-alloy conductive layer to render the upper portion substantially amorphous and, hence, decrease its reflectivity to perform an anti-reflective function.
    Type: Grant
    Filed: August 28, 1996
    Date of Patent: November 24, 1998
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Bhanwar Singh, Che-Hoo Ng